Matt Guthaus
a234b0af88
Fix space before comment
2019-06-14 08:43:41 -07:00
mrg
8418aea95a
Revert height to width
2019-06-03 15:36:14 -07:00
mrg
58f51b72f1
Merge fixes
2019-06-03 15:31:49 -07:00
mrg
7b8c2cac30
Starting single layer power router.
2019-06-03 15:28:55 -07:00
mrg
bd4d965e37
Begin single layer supply router
2019-06-03 15:27:37 -07:00
mrg
4612c9c182
Move power pins before no route option
2019-06-03 15:27:37 -07:00
mrg
fc12ea24e9
Add boundary to every module and pgate for visual debug.
2019-06-03 15:27:37 -07:00
mrg
1268a7927b
Pbitcell updates.
...
Fix module offset error.
Add boundary for debugging.
Line wrap code.
2019-06-03 15:27:37 -07:00
Matt Guthaus
7cca6b4f69
Add back scn3me_subm support
...
Add back scn3me_subm tech files
Update cells to be DRC clean with rule 5.5.b
Allow magic for FreePDK45 but not debugged.
Revert to older Magic tech file for SCN3ME_SUBM
2019-06-03 15:27:37 -07:00
mrg
301f032619
Remove +1 to induce error.
2019-05-31 10:55:17 -07:00
mrg
d789f93743
Add debug runner during individual tests.
2019-05-31 10:51:42 -07:00
mrg
bf86969972
Create sram subdirectory.
2019-05-31 08:56:24 -07:00
Hunter Nichols
36214792eb
Removed some debug measurements that were causing failures.
2019-05-28 17:04:27 -07:00
Hunter Nichols
ad229b1504
Altered indexing of objects in SRAM factory to remove duplications of items using OPTS names. Added smarter bitline name checking.
2019-05-28 16:55:09 -07:00
mrg
72f4a223c3
Move power pins before no route option
2019-05-27 16:38:47 -07:00
mrg
c2cc901300
Add boundary to every module and pgate for visual debug.
2019-05-27 16:32:38 -07:00
mrg
e738353b5c
Pbitcell updates.
...
Fix module offset error.
Add boundary for debugging.
Line wrap code.
2019-05-27 16:19:29 -07:00
Hunter Nichols
e2d1f7ab0a
Added smarter name checking for the characterizer.
2019-05-27 13:08:59 -07:00
mrg
26146b6838
Fix SCN3ME_SUBM stuff.
...
Update cells to be DRC clean with rule 5.5.b
Allow magic for FreePDK45 but not debugged.
Revert to older Magic tech file for SCN3ME_SUBM
2019-05-26 22:28:16 -07:00
Hunter Nichols
d08181455c
Added multiport bitcell support for storage node checks
2019-05-20 22:50:03 -07:00
Hunter Nichols
099bc4e258
Added bitcell check to storage nodes.
2019-05-20 18:35:52 -07:00
Hunter Nichols
412f9bb463
Added additional check to bitline to reduce false positives.
2019-05-17 01:56:22 -07:00
Hunter Nichols
03a762d311
Replaced constant string comparisons with enums
2019-05-16 14:18:33 -07:00
Hunter Nichols
d8617acff2
Merged with dev
2019-05-15 18:48:00 -07:00
Hunter Nichols
a80698918b
Fixed test issues, removed all bitcells not relevant for timing graph.
2019-05-15 17:17:26 -07:00
Hunter Nichols
178d3df5f5
Added graph to characterizer to get net names and perform s_en checks. Graph not working with column mux.
2019-05-14 14:44:49 -07:00
Hunter Nichols
b30c20ffb5
Added graph creation to characterizer, re-arranged pin creation.
2019-05-14 01:15:50 -07:00
Hunter Nichols
b4cce65889
Added incorrect read checking in characterizer.
2019-05-13 19:38:46 -07:00
mrg
3fa8c5543a
Merge branch 'dev' into scn3me_subm
2019-05-08 17:52:38 -07:00
mrg
a5ed9b56cd
Optional m4 in design class
2019-05-08 17:51:38 -07:00
Matt Guthaus
c24879162a
Add back scn3me_subm tech files
2019-05-08 16:06:21 -07:00
Hunter Nichols
d54074d68e
Made timing graph more gate-level. Changed edges to be defined by inputs/ouputs and name based.
2019-05-07 00:52:27 -07:00
Matt Guthaus
0f03553689
Update copyright to correct years.
2019-05-06 06:50:15 -07:00
Hunter Nichols
5bfc42fdbb
Added quality improvements to graph: improved naming, auto vdd/gnd removal
2019-04-29 23:57:25 -07:00
Matt Guthaus
534c6b36df
Use correct back end config file.
2019-04-29 10:20:27 -07:00
Matt Guthaus
8d8565bd9c
Add inline_drclvs option for improved coverage
2019-04-29 09:15:46 -07:00
Matt Guthaus
978ba9d2f2
Refactor run scripts.
...
Run DRC, LVS, and PEX share a run_*.sh script.
2019-04-26 15:43:46 -07:00
Matt Guthaus
946a0aca86
Simplify DRC and LVS run scripts.
...
Modified run scripts to work on local only files in the temp
directory. This assumes the files and subckts are named the
same as the clel name. Script now copies library files
to the temp directory as well.
2019-04-26 15:17:39 -07:00
Matt Guthaus
51a97979b9
Add front and back-end test 30.
2019-04-26 15:17:19 -07:00
Matt Guthaus
d23aa9a1bd
Use local setup.tcl and flatten bitcell arrays.
2019-04-26 14:12:51 -07:00
Matt Guthaus
9cead23f22
Add hierarchy to netgen LVS command.
2019-04-26 13:46:34 -07:00
Matt Guthaus
3f9a987e51
Update copyright. Add header to all OpenRAM files.
2019-04-26 12:33:53 -07:00
Matt Guthaus
0439b129bb
Some pgates are designs since not a fixed height
2019-04-26 12:33:53 -07:00
Matt Guthaus
05ad4285af
Cleanup pgate code.
...
Moved create_netlist and create_layout to the pgate class
from which everything is derived. Modified all pgates
to have consistent debug output and order of init function.
2019-04-26 12:30:42 -07:00
Jesse Cirimelli-Low
e507fbd5e9
Merge branch 'datasheet_gen' into dev
2019-04-26 12:29:37 -07:00
Matt Guthaus
3ffcad0db8
Add port makeall for removing symmetry problems in netgen
2019-04-26 09:17:52 -07:00
Hunter Nichols
f35385f42a
Cleaned up names, added exclusions to narrow paths for analysis.
2019-04-24 23:51:09 -07:00
Hunter Nichols
e292767166
Added graph creation and functions in base class and lower level modules.
2019-04-24 14:23:22 -07:00
Matt Guthaus
59d2e45744
Move characterization on/off feedback to report_status.
2019-04-24 11:30:38 -07:00
Matt Guthaus
7f5e6dd6f8
Fix unconnected supply pin bug in supply router.
...
Simplified some of the supply router pin groups so that it assumes
each group is fully connected. When computing enclosures of the
pins on the routing grid, it will remove disconnected enclosure
shapes to keep things connected.
2019-04-24 10:54:22 -07:00
Matt Guthaus
66c703d932
Simplify router code to clean it up a bit.
2019-04-22 15:30:35 -07:00
Matt Guthaus
5b828f32cb
Create auxiliary run_drc.sh and run_lvs.sh with arguments for calibre
2019-04-22 15:12:59 -07:00
Hunter Nichols
4f28295e20
Added initial graph for correct naming
2019-04-19 01:27:06 -07:00
Jesse Cirimelli-Low
49e5f97eb4
fixed bug where log would fail to generate if output folder did not exist
2019-04-17 15:02:10 -07:00
Matt Guthaus
25bc3a66ed
Add far left option for contact placement in pgates.
2019-04-17 13:41:35 -07:00
Matt Guthaus
a35bf29bdd
Improve print output for debugging layout objects.
2019-04-17 13:41:17 -07:00
Matt Guthaus
be20408fb2
Rewrite add_contact to use layer directions.
2019-04-15 18:00:36 -07:00
Hunter Nichols
c1411f4227
Applied quick corner estimation to analytical delay.
2019-04-09 12:26:54 -07:00
Hunter Nichols
a500d7ee3d
Adjusted bitcell analytical delays for multiport cells.
2019-04-09 02:49:52 -07:00
Hunter Nichols
25c034f85d
Added more accurate bitline delay capacitance estimations
2019-04-09 01:56:32 -07:00
Hunter Nichols
1438519495
Added check to pdriver for 0 fanout which can break compute_sizes.
2019-04-03 17:53:28 -07:00
Hunter Nichols
edac60d2a8
Merged with dev and fixed conflicts.
2019-04-03 16:45:01 -07:00
Hunter Nichols
cc5b347f42
Added analyical model test which compares measured delay to model delay.
2019-04-03 16:26:20 -07:00
Hunter Nichols
f6eefc1728
Added updated analytical characterization with combined models
2019-04-02 01:09:31 -07:00
Matt Guthaus
df4e2fead8
Return empty set instead of a list.
2019-04-01 15:59:57 -07:00
Matt Guthaus
07ecf52b9f
Add giant example for front-end mode
2019-04-01 15:49:01 -07:00
Matt Guthaus
5f37677225
Convert pin map to a set for faster membership.
2019-04-01 15:45:44 -07:00
Matt Guthaus
74f904a509
Cleanup options for front-end. Improve info output.
2019-04-01 10:35:17 -07:00
Matt Guthaus
c3e074c069
Add option for routing supplies. Off by default, but enabled in unit test config files.
2019-04-01 09:58:59 -07:00
Hunter Nichols
97777475b4
Added additions to account for custom delay chains.
2019-03-28 17:16:23 -07:00
Hunter Nichols
50d3b4cb8d
Added some bitline measures to the model_checker
2019-03-19 15:03:57 -07:00
Matt Guthaus
95d96bd45d
Add OPENRAM_TMP environment check
2019-03-08 11:12:30 -08:00
Matt Guthaus
0354e2dfb7
Rename config_20 to config since it is used in all tests
2019-03-08 10:47:41 -08:00
Matt Guthaus
196710ec3e
Remove factory from lef and verilog tests
2019-03-08 09:22:48 -08:00
Matt Guthaus
bd256d33d6
Remove syntax error
2019-03-08 08:35:18 -08:00
Matt Guthaus
7129f79dc4
Merge remote-tracking branch 'origin' into tech_reorg
2019-03-08 08:33:46 -08:00
Matt Guthaus
d8f64500e6
Remove factory create from lib tests so that we can give required name
2019-03-08 08:31:26 -08:00
Hunter Nichols
e39f9ee481
Merge branch 'dev' into multiport_characterization
2019-03-07 12:31:14 -08:00
Hunter Nichols
910878ed30
Removed bitline measures until hardcoded signal names are made dynamic
2019-03-07 12:30:27 -08:00
Jesse Cirimelli-Low
e6311dd44a
Merge branch 'datasheet_gen' into dev
2019-03-06 23:47:19 -08:00
Jesse Cirimelli-Low
4754e6851d
add_db takes commline line argv for path
2019-03-06 22:21:05 -08:00
Jesse Cirimelli-Low
c1770036ac
made the add_db code much simpler
2019-03-06 22:20:34 -08:00
Jesse Cirimelli-Low
83e810f8b8
added sorting to deliverables output
2019-03-06 21:12:21 -08:00
Jesse Cirimelli-Low
fac9ff9be6
changed add_db.py to uncommenting method
2019-03-06 20:59:52 -08:00
Matt Guthaus
95137a2c26
Wrap debug line
2019-03-06 14:24:24 -08:00
Matt Guthaus
77229d5121
Reduce verbosity
2019-03-06 14:24:18 -08:00
Matt Guthaus
c4c844a8a2
Remove duplicate module name checking since we use the factory
2019-03-06 14:14:46 -08:00
Matt Guthaus
09a429aef7
Update unit tests to all use the sram_factory
2019-03-06 14:12:24 -08:00
Matt Guthaus
acf2798a18
Add link to presentation in README
2019-03-06 08:29:43 -08:00
Matt Guthaus
cfc14f327e
Factor default corner out of import_tech
2019-03-06 07:46:30 -08:00
Matt Guthaus
d178801882
Simplify tech organization and import
2019-03-06 07:41:38 -08:00
Hunter Nichols
80a325fe32
Added corner information for analytical power estimation.
2019-03-04 19:27:53 -08:00
Hunter Nichols
ddeb40c9bf
Added lib test which generates multiple corner models. Only does process currently.
2019-03-04 16:27:10 -08:00
Hunter Nichols
7e67b741f6
Merge branch 'dev' into multiport_characterization
2019-03-04 00:43:03 -08:00
Hunter Nichols
0e96648211
Added linear corner factors in analytical delay model.
2019-03-04 00:42:18 -08:00
Matt Guthaus
22deab959c
Fix setup_bitcell to allow user to force override the bitcell.
2019-03-03 11:58:41 -08:00
Matt Guthaus
abcb1cfa2c
Correct elsif to elif
2019-02-28 09:17:24 -08:00
Matt Guthaus
da6aa161de
Don't autodetect the bitcell if the user overrides it
2019-02-28 09:12:32 -08:00
Matt Guthaus
fb7264bae2
Merge branch 'dev' of github.com:VLSIDA/PrivateRAM into dev
2019-02-28 08:44:18 -08:00
Jesse Cirimelli-Low
3802c537e5
added add_db.py to add .db files to datasheets
2019-02-27 22:20:06 -08:00
Hunter Nichols
816669b9ca
Merge branch 'dev' into multiport_characterization
2019-02-26 22:48:39 -08:00
Hunter Nichols
ea51cfdbb4
Removed data collection script
2019-02-26 22:46:38 -08:00
Hunter Nichols
42bc6efb21
Added additional graphing and data collection to script
2019-02-26 20:06:35 -08:00
Matt Guthaus
f865e66181
Remove git_id file
2019-02-25 16:47:38 -08:00
Matt Guthaus
de977732db
Only warn if not unit tests
2019-02-25 16:13:54 -08:00
Matt Guthaus
1f1426b97c
Add auto-detect of custom bitcells
2019-02-25 16:10:34 -08:00
Matt Guthaus
c79b97eb51
Merge remote-tracking branch 'origin/dev' into multiport
2019-02-25 15:46:39 -08:00
Matt Guthaus
a4b5368302
Add total size in warning for output size.
2019-02-25 14:57:18 -08:00
Matt Guthaus
638afaeb31
Remove duplicate profile stats script
2019-02-25 10:14:02 -08:00
Matt Guthaus
a18071a4ff
Add warning for large memory sizes
2019-02-25 10:07:05 -08:00
Jesse Cirimelli-Low
34294443d4
updated logos and css for official colors
2019-02-25 07:46:34 -08:00
Jesse Cirimelli-Low
677588290d
merging with dev now that it is passing
2019-02-25 07:05:06 -08:00
Matt Guthaus
a210fdda0f
Fix arguments for none verification
2019-02-24 10:49:35 -08:00
Matt Guthaus
9b785cd535
Fix error in cell width. Fix escape warning.
2019-02-24 10:48:54 -08:00
Matt Guthaus
4577d380f9
Add example 1w/1r
2019-02-24 09:57:34 -08:00
Matt Guthaus
6cdc870091
Copy 1rw/1r cell to 1w/1r.
2019-02-24 09:54:45 -08:00
Matt Guthaus
6c9ae1c659
Remove temp names in DRC/LVS. Extract unique doesn't actually extract.
2019-02-24 07:26:21 -08:00
Jesse Cirimelli-Low
b9525e0f9e
Merge branch 'dev' into datasheet_gen
2019-02-23 15:45:51 -08:00
Matt Guthaus
4da56098e7
Merge branch 'magic_lvs_ports' into dev
2019-02-22 19:02:43 -08:00
Matt Guthaus
599e5457a0
Fix all libs to have pin indices
2019-02-22 17:40:49 -08:00
Matt Guthaus
583dc4410b
Revert bus bits back into pins
2019-02-22 16:22:27 -08:00
Matt Guthaus
9459839c06
Clean up output file names for lvs. Update lvs script in magic.
2019-02-22 14:38:00 -08:00
Jesse Cirimelli-Low
8c9c910855
Merge branch 'datasheet_gen' into dev
2019-02-22 11:41:03 -08:00
Jesse Cirimelli-Low
ff09254590
fixed analytical flag
2019-02-22 08:19:54 -08:00
Jesse Cirimelli-Low
0cabee060d
fixed area rounding
2019-02-22 06:57:54 -08:00
Jesse Cirimelli-Low
b4f1d53a1b
fixed DRC datasheet error
2019-02-22 06:46:28 -08:00
Matt Guthaus
d043c72277
Fix temp name error in openram.py
2019-02-21 11:16:21 -08:00
Matt Guthaus
bb408d0a45
Add missing / in output path for log
2019-02-21 10:23:30 -08:00
Jennifer Eve Sowash
1249dcc34d
Merge branch 'dev' into pdriver
2019-02-20 13:00:58 -08:00
Jennifer Eve Sowash
6d3a29328c
Fixed a bug with corner_name in lib.py remaining static.
2019-02-20 12:59:40 -08:00
Jesse Cirimelli-Low
723ec9925f
Merge branch 'datasheet_gen' into dev
2019-02-15 21:47:24 -08:00
Jesse Cirimelli-Low
d533a8ae26
fixed logger typo
2019-02-15 21:45:05 -08:00
Jesse Cirimelli-Low
e3ff9b53e9
fixed area not being found
2019-02-14 07:01:35 -08:00
Hunter Nichols
8c1fe253d5
Added variable fanouts to delay testing.
2019-02-13 22:24:58 -08:00
Jesse Cirimelli-Low
3f761afcbc
Merge branch 'datasheet_gen' into dev
2019-02-13 17:43:31 -08:00
Matt Guthaus
d4c21cd26e
Remove extraneous character.
2019-02-13 17:41:33 -08:00
Matt Guthaus
2553439447
Merge branch 'dev' of https://github.com/VLSIDA/PrivateRAM into dev
2019-02-13 17:01:41 -08:00
Matt Guthaus
c359bbf42a
Fix deprecation warnings in regex by converting to raw strings. Add error if unable to find DRC errors in Magic.
2019-02-13 17:01:26 -08:00
Jesse Cirimelli-Low
e890c0e188
fixed -v logging
2019-02-13 15:21:16 -08:00
Hunter Nichols
4faec52409
Allowed data collection and analysis to run independently.
2019-02-12 20:58:50 -08:00
Hunter Nichols
a4bb481612
Added tracking for available data.
2019-02-12 16:28:37 -08:00
Jesse Cirimelli-Low
36d8d98b17
Merge branch 'dev' into datasheet_gen
2019-02-08 12:05:04 -08:00
Hunter Nichols
9e23e6584a
Made variance plot look slightly better.
2019-02-07 15:30:47 -08:00
Hunter Nichols
5e9851c5f1
Merge branch 'dev' into multiport_characterization
2019-02-07 14:31:26 -08:00
Hunter Nichols
ebf43298c0
Added mean/variance plotting
2019-02-07 14:26:48 -08:00
Matt Guthaus
d9efb682dd
Do not clean up if preserve temp in local_drc_check
2019-02-07 11:08:34 -08:00
Jesse Cirimelli-Low
bfc20a9aa9
removes debug corners
2019-02-07 06:38:07 -08:00
Jesse Cirimelli-Low
be4b7697cb
Merge branch 'dev' into datasheet_gen
2019-02-07 06:35:57 -08:00
Jesse Cirimelli-Low
6cde6beafa
added documetation to functions
2019-02-07 06:33:39 -08:00
Hunter Nichols
d0edda93ad
Added more variance analysis for the delay data
2019-02-07 02:27:22 -08:00
Jesse Cirimelli-Low
e131af2cc3
power added to datasheet (finally)
2019-02-06 20:31:22 -08:00
Hunter Nichols
690055174d
Fixed bug in control logic test with port configs.
2019-02-06 20:09:01 -08:00
Hunter Nichols
56e79c050b
Changed test values to fix tests.
2019-02-06 15:27:29 -08:00
Hunter Nichols
01c8405d12
Fix bitline measurement delays and adjusted default delay chain for column mux srams
2019-02-06 00:46:25 -08:00
Hunter Nichols
5f01a52113
Fixed some delay model bugs.
2019-02-05 21:15:12 -08:00
Jesse Cirimelli-Low
374e7a31eb
Merge branch 'dev' into datasheet_gen
2019-02-05 17:14:58 -08:00
Hunter Nichols
e3d003d410
Adjusted test values to account for recent changes.
2019-02-05 00:43:16 -08:00
Hunter Nichols
543e0a1b9a
Merge branch 'dev' into multiport_characterization
2019-02-04 23:54:16 -08:00
Hunter Nichols
12723adb0c
Modified some testing and initial delay chain sizes.
2019-02-04 23:38:26 -08:00
Jesse Cirimelli-Low
c22025839c
datasheet now indicates if analytical or characterizer is used
2019-01-31 08:28:51 -08:00
Jesse Cirimelli-Low
21868e1b60
removed expanded process names from corners
2019-01-31 08:09:00 -08:00
Hunter Nichols
8d7823e4dd
Added delay ratio comparisons between model and measurements
2019-01-31 00:26:27 -08:00
Jesse Cirimelli-Low
475db65d26
added units to AREA on datasheet
2019-01-30 17:49:43 -08:00
Matt Guthaus
ec1fb087b5
Check membership of keys without using keys() list
2019-01-30 13:02:34 -08:00
Hunter Nichols
45fceb1f4e
Added word per row to sram config with a default arguement to fix test.
2019-01-30 11:43:47 -08:00
Matt Guthaus
74fbe8fe63
Convert source and target lists to sets for faster contains check.
2019-01-30 11:15:47 -08:00
Matt Guthaus
07f4d639eb
Remove non-rectangular error and just skip them.
2019-01-30 10:25:01 -08:00
Matt Guthaus
7836929db2
Use hash of tuples instead of checking rectangle in list
2019-01-30 10:03:07 -08:00
Matt Guthaus
aaf028cacf
Optimize hpwl runtime. Fix error in via cost when L shape.
2019-01-30 08:49:47 -08:00
Matt Guthaus
82a09be026
Move inspect into if statement for runtime
2019-01-30 08:42:25 -08:00
Hunter Nichols
c10c9e4009
Refactored some code and other additional improvements.
2019-01-29 23:02:28 -08:00
Hunter Nichols
242a63accb
Fixed issues introduced by pdriver additions in model unit test
2019-01-29 16:43:30 -08:00
Hunter Nichols
d1218778b1
Fixed merge conflicts
2019-01-28 22:33:08 -08:00
Matt Guthaus
1bdf4dbe4f
Re-enable abort on supply error.
2019-01-28 17:07:38 -08:00
Matt Guthaus
47a3dfafee
Merge branch 'driver_sizing' into dev
2019-01-28 15:12:01 -08:00
Matt Guthaus
f84dc3cadc
Fix hspice delay golden results
2019-01-28 10:39:09 -08:00
Jesse Cirimelli-Low
ed901aba5f
changed datetime to date
2019-01-28 10:29:27 -08:00
Matt Guthaus
d77bba3af2
Fix clock fanout to include internal FF. Update delays in golden tests.
2019-01-28 08:48:32 -08:00
Matt Guthaus
881c449c7c
Fix error in offset computation for right drivers
2019-01-28 07:53:36 -08:00
Matt Guthaus
c4438584fe
Move jog for wl to mid-cells rather than mid-pins.
2019-01-27 12:59:02 -08:00
Matt Guthaus
18805423e3
Simplify pdriver code.
2019-01-25 17:18:12 -08:00
Matt Guthaus
beceb3fb60
Fix buggy analytical delay in pdriver
2019-01-25 16:22:59 -08:00
Matt Guthaus
01ab253925
Move gdsMill license to README
2019-01-25 15:56:12 -08:00
Matt Guthaus
d2864370aa
Temporarily disable abort on supply error
2019-01-25 15:43:57 -08:00
Matt Guthaus
09d6a63861
Change path to wire_path for Anaconda package conflict
2019-01-25 15:07:56 -08:00
Matt Guthaus
0c3baa5172
Added some comments to the spice files.
2019-01-25 15:00:00 -08:00
Matt Guthaus
1afd4341bd
Update stage effort of clk_buf_driver
2019-01-25 14:22:37 -08:00
Matt Guthaus
6f32bac1a2
Use rx of last pdriver instance after placing instances
2019-01-25 14:17:37 -08:00
Matt Guthaus
614aa54f17
Move clkbuf output lower to avoid dff outputs
2019-01-25 14:03:52 -08:00
Matt Guthaus
ddf734891a
Fix pdriver width error
2019-01-25 10:26:31 -08:00
Matt Guthaus
8f56953af0
Convert wordline driver to use sized pdriver
2019-01-24 10:20:23 -08:00
Hunter Nichols
ee03b4ecb8
Added some data variation checking
2019-01-24 09:25:09 -08:00
Jesse Cirimelli-Low
65c5cc9fe7
added support for more corner variations
2019-01-24 07:09:51 -08:00
Matt Guthaus
091b4e4c62
Add size commments to spize. Change pdriver stage effort.
2019-01-23 17:27:15 -08:00
Hunter Nichols
d527b7da62
Added delay error calculations
2019-01-23 13:19:35 -08:00
Matt Guthaus
8a85d3141a
Fix polarity problem.
2019-01-23 13:08:43 -08:00
Matt Guthaus
d64d262d78
Fix pdriver instantiation. Change sizes based on word_size.
2019-01-23 12:51:28 -08:00
Matt Guthaus
b58fd03083
Change pbuf/pinv to pdriver in control logic.
2019-01-23 12:03:52 -08:00
Hunter Nichols
6d3884d60d
Added corner data collection.
2019-01-22 16:40:46 -08:00
Jesse Cirimelli-Low
ac17e71973
removed debug print statement
2019-01-22 15:47:16 -08:00
Jesse Cirimelli-Low
886dd4d313
Merge branch 'dev' into datasheet_gen
2019-01-22 15:24:44 -08:00
Jesse Cirimelli-Low
978990f4dd
cleaned up debug.py edits
2019-01-22 15:24:38 -08:00
Matt Guthaus
23718b952f
Check for print statements in more files since we now use print_raw
2019-01-18 10:16:55 -08:00
Matt Guthaus
f5f27073be
Merge remote-tracking branch 'origin/dev' into factory
2019-01-18 09:52:18 -08:00
Hunter Nichols
5885e3b635
Removed carriage returns, adjusted signal names generation for variable delay chain size.
2019-01-18 00:23:50 -08:00
Yusu Wang
c20fb2a70e
replace matrix to array
2019-01-17 12:01:08 -08:00
Hunter Nichols
4ced6be6bd
Added data collection and some initial data
2019-01-17 09:54:34 -08:00
Hunter Nichols
5bbc43d0a0
Added data collection of wordline and s_en measurements.
2019-01-17 01:59:41 -08:00
Jesse Cirimelli-Low
9c8090d94b
added debug.info to logging
2019-01-16 19:56:23 -08:00
Matt Guthaus
7a152ea13d
Move sram_factory to root dir
2019-01-16 17:06:29 -08:00
Matt Guthaus
9ecfaf16ea
Add the factory class
2019-01-16 17:04:28 -08:00
Matt Guthaus
91636be642
Convert all contacts to use the sram_factory
2019-01-16 16:56:06 -08:00
Matt Guthaus
5192a01f2d
Convert pgates to use ptx through the factory
2019-01-16 16:30:31 -08:00
Matt Guthaus
a418431a42
First draft of sram_factory code
2019-01-16 16:15:38 -08:00
Jesse Cirimelli-Low
25b0da404f
removed EOL error in comment
2019-01-16 16:08:41 -08:00
Jesse Cirimelli-Low
41b8e8665b
updated datasheet descriptors
2019-01-16 15:43:08 -08:00
Jesse Cirimelli-Low
0556b86424
html datasheet no longer dependeds on sram
2019-01-16 14:52:01 -08:00
Jesse Cirimelli-Low
192c615a38
moved library page to new repo
2019-01-16 07:33:17 -08:00
Hunter Nichols
cc0be510c7
Added some data scaling and error calculation in model check.
2019-01-16 00:46:24 -08:00
Jesse Cirimelli-Low
813a551691
comment parsing 1/2 complete; page gen setup complete
2019-01-15 20:48:20 -08:00
Jesse Cirimelli-Low
903cafb336
html parsing finished
2019-01-15 19:47:48 -08:00
Hunter Nichols
6152ec7ec5
Merge branch 'dev' into multiport_characterization
2019-01-15 16:33:39 -08:00
Jesse Cirimelli-Low
b66c53a99a
added log file to datasheet
2019-01-13 15:02:13 -08:00
Jesse Cirimelli-Low
87380a4801
complete log file generation
2019-01-13 14:34:46 -08:00
Matt Guthaus
e210ef2a41
Add assert to lef and verilog unit test. Fix verilog files in golden results.
2019-01-11 16:42:50 -08:00
Matt Guthaus
a7dd62b0e5
falling_edge not negative_edge
2019-01-11 15:17:27 -08:00
Matt Guthaus
20b869f8e1
Remove tabs
2019-01-11 14:16:57 -08:00
Matt Guthaus
5de7ff3773
Updated Verilog to have multiport. Added 1rw,1rw/1r Verilog testbench.
2019-01-11 14:15:16 -08:00
Matt Guthaus
f0ab155172
Change dout to negative clock edge relative
2019-01-11 09:51:05 -08:00
Hunter Nichols
21663439cc
Added slews measurements to the model checker. Removed unused code in bitline delay class.
2019-01-09 22:42:34 -08:00
Jesse Cirimelli-Low
a25e0f6c8c
Merge branch 'dev' into datasheet_gen
2019-01-09 13:48:43 -08:00
Matt Guthaus
cdef5f0ecb
Change kbits to bits in output
2019-01-09 16:57:12 -08:00
Matt Guthaus
be9f81768d
Merge branch 'dev' of github.com:VLSIDA/PrivateRAM into dev
2019-01-09 15:20:34 -08:00
Matt Guthaus
94a6cbc28b
Remove extra bracket in pin blokc
2019-01-09 13:44:25 -08:00
Jesse Cirimelli-Low
b0978e62f3
removed openram placeholder logo to stage for public push
2019-01-09 12:32:17 -08:00
Matt Guthaus
49d0b9d69c
Remove old scn3me golden results. Remove indices from new golden results.
2019-01-09 12:04:17 -08:00
Matt Guthaus
fe077a453a
Change capitalization of message to be consistent
2019-01-09 12:00:14 -08:00
Matt Guthaus
7e635d02be
Remove indices from pins in lib file
2019-01-09 12:00:00 -08:00
Matt Guthaus
4d0a8b9c8a
Check for coverage executable and run without if not found.
2019-01-09 08:24:20 -08:00
Jesse Cirimelli-Low
e9b8eab2c3
Merge branch 'dev' into datasheet_gen
2019-01-09 06:16:09 -08:00
Jesse Cirimelli-Low
8b8985dbd1
track table_gen
2019-01-09 06:15:22 -08:00
Jesse Cirimelli-Low
3f8628fa94
flask totally purged, fixed table headers
2019-01-08 20:04:30 -08:00
Jesse Cirimelli-Low
e58515b89b
tables stable and flask removed, headers are bugged
2019-01-08 19:50:47 -08:00
Jesse Cirimelli-Low
6033cc604d
stable, but incomplete flaskless table gen rewrite
2019-01-08 18:54:20 -08:00
Jesse Cirimelli-Low
19a986c35c
no-flask rewrite for initial datasheet case complete
2019-01-07 19:43:57 -08:00
Jesse Cirimelli-Low
24161a1df2
Merge branch 'dev' into datasheet_gen
2019-01-07 18:18:46 -08:00
Jesse Cirimelli-Low
1283cbc3be
fixed EOL error in descriptor
2019-01-07 18:17:38 -08:00
Jesse Cirimelli-Low
5508ae945d
updated file html description to simplify parsing
2019-01-07 17:08:47 -08:00
Matt Guthaus
2236ca40df
Make xa least priority since it fails functional tests.
2019-01-03 19:20:31 -08:00
Jesse Cirimelli-Low
6acc8c8902
removed print debug statement
2019-01-03 13:41:25 -08:00
Jesse Cirimelli-Low
53b7e46db4
fixed bug where retrieving git id would fail depending on cwd
2019-01-03 12:28:29 -08:00
Hunter Nichols
272267358f
Moved all bitline delay measurements to delay class. Added measurements to check delay model.
2019-01-03 05:51:28 -08:00
Jesse Cirimelli-Low
c69e5fdb18
added compile time to datasheet
2019-01-02 10:30:03 -08:00
Jesse Cirimelli-Low
cc27736a45
moved DRC and LVS error reports to datasheet.info from datasheet.py
2019-01-02 10:14:45 -08:00
Hunter Nichols
66b2fcdc91
Added data parsing to measurement objects and adding power measurements.
2018-12-20 15:54:56 -08:00
Hunter Nichols
b10ef3fb7e
Replaced delay measure statement with object implementation.
2018-12-19 18:33:06 -08:00
Hunter Nichols
8eb4812e16
Made parasitic delay parameter in Freepdk45 more accurate, added stage names to delay model.
2018-12-17 23:32:02 -08:00
Hunter Nichols
51b1bd46da
Added option to use delay chain size defined in tech.py
2018-12-14 18:02:19 -08:00
Hunter Nichols
dc20bf9e11
Added bitline measurements to ngspice delay test.
2018-12-13 22:31:08 -08:00
Hunter Nichols
e4065929c2
Added bitline threshold delay checks to delay tests.
2018-12-13 22:21:30 -08:00
Jennifer Eve Sowash
4a5c18b6cc
Removed line to skip pdriver_test
2018-12-13 19:10:38 -08:00
Jennifer Eve Sowash
bc44c80d40
Added height to init in pdriver.py
2018-12-13 19:03:31 -08:00
Hunter Nichols
97fc37aec1
Added checks for the bitline voltage at sense amp enable 50%.
2018-12-12 23:59:32 -08:00
Hunter Nichols
0510aeb3ec
Merged with dev, removed commented out code.
2018-12-12 16:02:16 -08:00
Hunter Nichols
50f13eabce
Added better port selection to bitline measurements.
2018-12-12 15:59:20 -08:00
Hunter Nichols
0a26e40022
Attempts to fix failing tests. Random seed differences between mada and pipeline.
2018-12-12 13:12:26 -08:00
Hunter Nichols
6ac474d642
Added bitline measures with hardcoded names.
2018-12-12 00:43:08 -08:00
Hunter Nichols
82e074ebf0
Added initial structure for bitline measurements.
2018-12-11 14:06:11 -08:00
Jennifer Eve Sowash
a51aacfa90
Added corner case for 1 inv pos polarity and renamed variables.
2018-12-07 19:42:11 -08:00
Matt Guthaus
37c10a2198
Merge branch 'supply_routing' into dev
2018-12-07 17:04:37 -08:00
Matt Guthaus
b15584a821
Print start time after banner and init
2018-12-07 15:50:18 -08:00
Hunter Nichols
4d84731c34
Edited heuristic delay chain and delay model to account for read port differences.
2018-12-07 15:39:53 -08:00
Matt Guthaus
3f468b1c18
Only print_time when not a unit test or debug_level set
2018-12-07 15:14:28 -08:00
Jennifer Eve Sowash
d302f1cd0a
Merge branch 'pdriver' into dev
2018-12-07 14:37:25 -08:00
Matt Guthaus
5248482fab
Merge branch 'dev' into supply_routing
2018-12-07 14:28:49 -08:00
Matt Guthaus
6f171ad147
Added router timing code. Commented combine adjacent pins due to run-time complexity
2018-12-07 13:54:18 -08:00
Matt Guthaus
5ed9904855
Cast dict_values to a list for pin_groups
2018-12-07 13:02:50 -08:00
Jennifer Eve Sowash
a6eec10f41
Passed freepdk45 tests with pdriver.py
2018-12-07 12:58:05 -08:00
Matt Guthaus
dfb2cf3cbd
Change analyze_pins to a heuristic algorithm less than O(n^2)
2018-12-07 12:41:32 -08:00
Jennifer Eve Sowash
a24e5229cb
Fixed method of determining inverter number.
2018-12-07 10:19:18 -08:00
Matt Guthaus
a96f492d0a
Add profile scripts
2018-12-07 08:56:40 -08:00
Jesse Cirimelli-Low
3d9203a7ea
Merge branch 'dev' into datasheet_gen
2018-12-07 04:29:07 -08:00
Matt Guthaus
5319107afa
Skip pdriver test until LVS fix
2018-12-07 07:41:35 -08:00
Matt Guthaus
d38d5a6d58
Merge branch 'supply_routing' into dev
2018-12-07 07:39:53 -08:00
Jennifer Eve Sowash
653ab3eda4
Changed method of determining number of inverters.
2018-12-06 19:34:19 -08:00
Jennifer Eve Sowash
8ea85e3e65
Merge branch 'dev' into pdriver
2018-12-06 14:38:08 -08:00
Jennifer Eve Sowash
5e19cf1e24
Updated naming, added compute_sizes(), and fixed sizing function.
2018-12-06 14:36:01 -08:00
Matt Guthaus
537e0689fb
Add combine adjacent pins back
2018-12-06 14:29:06 -08:00
Matt Guthaus
c51752d245
Provide more stats in -v output
2018-12-06 14:11:15 -08:00
Matt Guthaus
514f6fda27
Increase size for warning of column mux limit
2018-12-06 13:57:38 -08:00
Matt Guthaus
3f1fbc3d90
Merge remote-tracking branch 'origin' into supply_routing
2018-12-06 13:53:51 -08:00
Matt Guthaus
c0295a2c3d
Rewrite if/else to be correct and more legible.
2018-12-06 13:23:39 -08:00
Matt Guthaus
46d3068821
Output number of words per row before SRAM creation. Recompute words per row in unit tests.
2018-12-06 13:11:47 -08:00
Matt Guthaus
6f1af4d0c9
Remove extraneous m2m3 via that causes DRC
2018-12-06 12:45:45 -08:00
Matt Guthaus
b5a7274316
Change Netlisting to submodules to reflect what time is of
2018-12-06 11:59:20 -08:00
Matt Guthaus
e4c67875d2
Add non-minimum width metal2 in route when vias can be close
2018-12-06 11:58:57 -08:00
Matt Guthaus
b7bbc9b994
Add output on number of ports.
2018-12-06 11:58:34 -08:00
Matt Guthaus
b72382b400
Fix offset bug with negative vertical supply rails
2018-12-06 11:58:19 -08:00
Jesse Cirimelli-Low
afb32ed834
removed outdated 'unknown' for analytical frequency
2018-12-06 10:29:48 -08:00
Jesse Cirimelli-Low
bf27eb8cd6
removed placeholder data
2018-12-06 10:17:12 -08:00
Jesse Cirimelli-Low
1633ae0265
base64 encode images for portability
2018-12-06 10:13:28 -08:00
Jesse Cirimelli-Low
02b4b13cc4
fixed config file path
2018-12-06 09:26:38 -08:00
Jesse Cirimelli-Low
e41b90449d
specify config file abs path
2018-12-06 05:34:05 -08:00
Hunter Nichols
b157fc58a1
Moved feasible period search from functional.py to tests.
2018-12-05 23:23:40 -08:00
Hunter Nichols
1e87a0efd2
Re-added new width 1rw,1r bitcells with flattened gds.
2018-12-05 20:43:10 -08:00
Hunter Nichols
448e8f4cfd
Merged with dev
2018-12-05 17:49:42 -08:00
Jesse Cirimelli-Low
cd0e763895
moved system call to datasheet.info generator
2018-12-05 17:35:35 -08:00
Matt Guthaus
7645a909eb
Merge branch 'supply_routing' into dev
2018-12-05 17:24:51 -08:00
Hunter Nichols
ea55bda493
Changed s_en delay calculation based recent control logic changes.
2018-12-05 17:10:11 -08:00
Jesse Cirimelli-Low
1dae539e1d
track git_id
2018-12-05 16:13:52 -08:00
Jesse Cirimelli-Low
7e475b376e
switch to git rev-parse solution for id parsing
2018-12-05 14:58:37 -08:00
Jesse Cirimelli-Low
32bd91aafd
track ORIG_HEAD file
2018-12-05 13:39:54 -08:00
Jesse Cirimelli-Low
7a20420030
get ORIG_HEAD with pre-commit hook
2018-12-05 13:38:09 -08:00
Matt Guthaus
2cd1322071
Clean up Makefile for unit tests
2018-12-05 12:58:10 -08:00
Matt Guthaus
fa3bf2915a
Remove commented code
2018-12-05 09:56:19 -08:00
Matt Guthaus
0c0a23e6eb
Cleanup code. Add time breakdown for SRAM creation.
2018-12-05 09:51:17 -08:00
Hunter Nichols
0c3c58011b
Fixed delay test values.
2018-12-05 00:13:23 -08:00
Matt Guthaus
f1c74d6bfb
Merge branch 'dev' into supply_routing
2018-12-04 17:57:18 -08:00
Matt Guthaus
d95b34caf2
Round output to look pretty
2018-12-04 17:08:47 -08:00
Matt Guthaus
e750d446dc
Fix syntax error. Enable skipped test.
2018-12-04 17:08:22 -08:00
Matt Guthaus
126d4a8d10
Fix instersection bug. Improve primary and secondary pin algo.
2018-12-04 16:53:04 -08:00
Jesse Cirimelli-Low
b6e7ddd023
Merge branch 'dev' into datasheet_gen
2018-12-04 16:27:04 -08:00
Matt Guthaus
7ce75398a8
Change warning to info
2018-12-04 09:42:47 -08:00
Matt Guthaus
7fce6f06ca
Expand grids to maximal pin before removing blockages
2018-12-04 09:35:40 -08:00
Matt Guthaus
389bb91af4
Simplifying supply router to single grid track
2018-12-04 08:41:57 -08:00
Matt Guthaus
2a68b57215
Changed psram info to sram
2018-12-03 15:59:31 -08:00
Jesse Cirimelli-Low
2c12ef2161
added warning to test 30 coverage is not installed
2018-12-03 13:24:22 -08:00
Jennifer Eve Sowash
2534a32e20
pdriver.py passes resgression tests. Size and number of inverters has been added.
2018-12-03 12:55:48 -08:00
Jesse Cirimelli-Low
71bb1bb9f1
updated test 30 to dev version
2018-12-03 11:09:45 -08:00
Matt Guthaus
c6f03e70d4
Convert supply to wider DRC rules
2018-12-03 11:09:17 -08:00
Jesse Cirimelli-Low
c869c7e870
added tracking to new debug files
2018-12-03 10:54:50 -08:00
Jesse Cirimelli-Low
5646660765
added git id to datasheet
2018-12-03 10:53:50 -08:00
Jesse Cirimelli-Low
9501b99df7
merged branch wtih dev
2018-12-03 09:47:34 -08:00
Jennifer Eve Sowash
da631618b6
Merge branch 'pdriver' of https://github.com/VLSIDA/PrivateRAM into pdriver
2018-12-03 09:14:13 -08:00
Matt Guthaus
bcc6b95564
Add coverage exclusions. Add subprocess coverage.
2018-12-03 09:13:57 -08:00
Jennifer Sowash
887674aa85
Added pdriver.py for testing.
2018-12-03 09:11:12 -08:00
Hunter Nichols
722bc907c4
Merged with dev. Fixed conflicts in tests.
2018-12-02 23:09:00 -08:00
Matt Guthaus
49f7022416
Skip failing tests with comments for bugs.
2018-11-30 12:33:43 -08:00
Matt Guthaus
90d1fa7c43
Bitcell supply routing fixes.
...
Flatten and simplify 1rw 1r bitcell.
Move bitcell vias to M3 if rotation is limited.
Simplify replica bitcell vdd routing.
2018-11-30 12:32:13 -08:00
Matt Guthaus
7e054a51e2
Some techs don't need m1 power pins
2018-11-29 18:47:38 -08:00
Matt Guthaus
0af4263edb
Remove extra rotated vias in bitcell array to simplify power routing
2018-11-29 18:13:15 -08:00
Matt Guthaus
0e7301fff8
Update unit test golden results. Skip two tests.
2018-11-29 17:28:57 -08:00
Matt Guthaus
e98f7075e2
Merge branch 'multiport_control_fix' of ssh://scone/home/mrg/openram into multiport_control_fix
2018-11-29 16:29:17 -08:00
Matt Guthaus
33a7683473
Remove used gated_clk instead of cs for read-only control logic.
2018-11-29 16:28:37 -08:00
Matt Guthaus
a7be60529f
Do not rotate vias in horizontal channel routes
2018-11-29 13:57:40 -08:00
Matt Guthaus
3c4d559308
Fixed syntax error referring to column mux
2018-11-29 13:29:16 -08:00
Matt Guthaus
3d3f54aa86
Add col addr line spacing for col addr decoder
2018-11-29 13:22:48 -08:00
Matt Guthaus
4df862d8af
Convert channel router to take netlist of pins rather than names.
2018-11-29 12:12:10 -08:00
Matt Guthaus
a7bc9e0de0
Use module height not instance uy for sram placement
2018-11-29 10:34:25 -08:00
Matt Guthaus
0a16d83181
Add more layout and functional port tests.
2018-11-29 10:28:43 -08:00
Matt Guthaus
14fa33e21d
Remove 4 bank code and test for now.
2018-11-29 10:28:09 -08:00
Matt Guthaus
7054d0881a
Fix col address dff spacing from bank.
2018-11-29 09:54:29 -08:00
Matt Guthaus
02a67f9867
Missing gap in port 1 col decoder
2018-11-28 18:07:31 -08:00
Matt Guthaus
d041a498f3
Fix height of port 1 control bus. Adjust column decoder names.
2018-11-28 17:48:25 -08:00
Jesse Cirimelli-Low
a4b1d2f13b
added css style code
2018-11-28 17:21:50 -08:00
Jesse Cirimelli-Low
06805d1e70
file browser does not show files in root directory; removed test file
2018-11-28 17:18:59 -08:00
Matt Guthaus
f8513da162
Remove local temp dir
2018-11-28 17:04:53 -08:00
Matt Guthaus
a2a9cea37e
Make column decoder same height as control to control and supply overlaps
2018-11-28 16:59:58 -08:00
Jesse Cirimelli-Low
79c4b3c4cd
added files links
2018-11-28 16:56:24 -08:00
Matt Guthaus
3cfe74cefb
Functional simulation uses threshold for high and low noise margins
2018-11-28 16:55:04 -08:00
Jesse Cirimelli-Low
44638cb885
jinja2 file browser working
2018-11-28 16:48:24 -08:00
Matt Guthaus
25ae3a5eae
Fix error of no control bus width
2018-11-28 15:42:51 -08:00
Matt Guthaus
d99dcd33e2
Fix SRAM level control routing errors.
2018-11-28 15:30:52 -08:00
Matt Guthaus
143e4ed7f9
Change hierchical decoder output order to match changes to netlist.
2018-11-28 14:09:45 -08:00
Matt Guthaus
b5b691b73d
Fix missing via in clk input of control
2018-11-28 13:20:39 -08:00
Matt Guthaus
2ed8fc1506
pgate inputs and outputs are all on M1 for flexible via placement when using gates.
2018-11-28 12:42:29 -08:00
Matt Guthaus
93904d9f2d
Control logic passes DRC/LVS in SCMOS
2018-11-28 11:02:24 -08:00
Matt Guthaus
410115e830
Modify dff_buf to stagger Q and Qb outputs.
2018-11-28 10:43:11 -08:00
Matt Guthaus
25611fcbc1
Remove dff_inv since we can just use dff_buf
2018-11-28 10:42:22 -08:00
Matt Guthaus
ea6abfadb7
Stagger outputs of dff_buf
2018-11-28 09:48:16 -08:00
Matt Guthaus
d2ca2efdbe
Limit ps, pd, as, ad precision in ptx.
2018-11-28 09:47:54 -08:00
Jesse Cirimelli-Low
a56e3f609b
removed debug print statements
2018-11-28 09:39:58 -08:00
Jesse Cirimelli-Low
0920321a2e
start of static html generation code
2018-11-27 19:49:05 -08:00
Matt Guthaus
c43a140b5e
All control routed and DRC clean. LVS errors.
2018-11-27 17:18:03 -08:00
Matt Guthaus
5d59863efc
Fix p_en_bar at top level. Change default scn4m period to 10ns.
2018-11-27 14:44:55 -08:00
Matt Guthaus
c45f990413
Change en to en_bar in precharge. Fix logic for inverted p_en_bar.
2018-11-27 14:17:55 -08:00
Matt Guthaus
0c286d6c29
Revert to 5V example until we fix spice models in scn4m_subm
2018-11-27 14:17:06 -08:00
Jesse Cirimelli-Low
5aa8c46c16
Merge branch 'dev' into datasheet_gen
2018-11-27 13:54:21 -08:00
Matt Guthaus
bf31126679
Correct decoder output numbers to follow address order
2018-11-27 12:03:13 -08:00
Matt Guthaus
b912f289a6
Remove extra X in instance names
2018-11-27 12:02:53 -08:00
Matt Guthaus
2237af0463
Merge branch 'multiport_control_fix' of ssh://scone/home/mrg/openram into multiport_control_fix
2018-11-26 18:01:34 -08:00
Matt Guthaus
cf23eacd0e
Add wl_en
2018-11-26 18:00:59 -08:00
Matt Guthaus
21759d59b4
Remove inverter in wordline driver
2018-11-26 16:41:31 -08:00
Matt Guthaus
9e0b31d685
Make pand2 and pbuf derive pgate. Initial DRC wrong layout.
2018-11-26 16:19:18 -08:00
Matt Guthaus
dd79fc560b
Corretct modules for add_inst
2018-11-26 15:35:29 -08:00
Matt Guthaus
b440031855
Add netlist only mode to new pgates
2018-11-26 15:29:42 -08:00
Matt Guthaus
2eff166527
Rotate vias in pand2
2018-11-26 14:05:04 -08:00
Matt Guthaus
5209619987
Move pnand2 output to allow input pin access on M2
2018-11-26 13:59:53 -08:00
Matt Guthaus
8fba32ca12
Add pand2 draft
2018-11-26 13:45:22 -08:00
Jennifer Eve Sowash
524334d24d
Merge branch 'dev' into pdriver
2018-11-26 13:15:47 -08:00
Hunter Nichols
b06aa84824
Functional tests now find a feasible period instead of using a heuristic. Bug found, trimming pbitcell netlists causes bit flips.
2018-11-23 18:55:15 -08:00
Hunter Nichols
5f954689a5
In delay.py, altered dummy address based on column mux. Added some hacks to make min_period work for srams with columns muxes.
2018-11-23 13:19:55 -08:00
Jennifer Eve Sowash
bb7773ca7f
Editted pbuf.py to pass regression.
2018-11-20 14:39:11 -08:00
Jesse Cirimelli-Low
29f19ad70f
replaced absolute links with relative links
2018-11-20 12:27:54 -08:00
Jesse Cirimelli-Low
7d070c2652
Added links to logos
2018-11-20 11:51:38 -08:00
Hunter Nichols
67977bab3e
Fixed port issue in bank. Changed golden data due to netlist change.
2018-11-20 11:39:14 -08:00
Jesse Cirimelli-Low
1942ef33ac
Merge branch 'dev' into datasheet_gen
2018-11-20 11:23:42 -08:00
Hunter Nichols
62cbbca852
Merged, fixed conflict bt matching control logic creation to dev.
2018-11-19 22:20:20 -08:00
Hunter Nichols
2f29ad5510
Disabled resizing based on rise/fall delays. It creates delay chains which cannot be routed.
2018-11-19 22:13:58 -08:00
Matt Guthaus
b8299565eb
Use grid furthest from blockages when blocked pin. Enclose multiple connectors.
2018-11-19 17:32:55 -08:00
Hunter Nichols
8257e4fe8c
Changed syntax in replica_bl tests, golden data to fit new values in delay tests.
2018-11-19 16:51:43 -08:00
Matt Guthaus
20d4e390f6
Add bounding box of connector for when there are multiple connectors
2018-11-19 15:45:07 -08:00
Matt Guthaus
2694ee1a4c
Add all insufficient grids that overlap the pin at all
2018-11-19 15:43:19 -08:00
Hunter Nichols
e8f1c19af6
Merge branch 'dev' into multiport_characterization
2018-11-19 15:42:48 -08:00
Matt Guthaus
a47509de26
Move via away from cell edges
2018-11-19 15:42:22 -08:00
Hunter Nichols
a55d907d03
High-to-low delays and slews are copied from the low-to-high values to simplify lib file results. FIXME
2018-11-19 15:40:26 -08:00
Matt Guthaus
6a7d721562
Add new bbox routine for pin enclosures
2018-11-19 09:28:29 -08:00
Matt Guthaus
4630f52de2
Use array ur instead of bank ur to pace row addr dff
2018-11-19 08:41:26 -08:00
Hunter Nichols
d3c47ac976
Made delay measurements less dependent on period.
2018-11-18 23:28:49 -08:00
Matt Guthaus
7709d5caa7
Move row addr dffs to top of bank to prevent addr route problems
2018-11-18 10:02:08 -08:00
Matt Guthaus
ba8bec3f67
Two m1 pitches at top of control logic
2018-11-18 09:30:27 -08:00
Matt Guthaus
c677efa217
Fix control logic center location. Fix rail height error in write only control logic.
2018-11-18 09:15:03 -08:00
Hunter Nichols
3716030a23
Added delay chain sizing for rise/fall delays. Disabled to some sizes being having very large fanouts.
2018-11-16 16:57:22 -08:00
Matt Guthaus
047d6ca2ef
Must channel rout the column mux bits since they could overlap
2018-11-16 16:21:31 -08:00
Matt Guthaus
b89c011e41
Add psram 1w/1r test. Fix bl/br port naming errors in bank.
2018-11-16 15:31:22 -08:00
Matt Guthaus
8f28f4fde5
Don't always add all 3 types of contorl. Add write and read only port lists.
2018-11-16 15:03:12 -08:00
Matt Guthaus
b13d938ea8
Add m3m4 short hand in design class
2018-11-16 14:10:49 -08:00
Matt Guthaus
4997a20511
Must set library cell flag for netlist only mode as well
2018-11-16 13:37:17 -08:00
Matt Guthaus
ca750b698a
Uniquify bitcell array
2018-11-16 12:52:22 -08:00
Matt Guthaus
e040fd12f9
Bitcell and bitcell array can be named the same.
2018-11-16 12:00:23 -08:00
Matt Guthaus
5e0eb609da
Check for single top-level structure in vlsiLayout. Don't allow dff_inv and dff_buf to have same names.
2018-11-16 11:48:41 -08:00
Matt Guthaus
68ac7e5955
Fix offset of column decoder with new mirroring
2018-11-15 17:27:58 -08:00
Matt Guthaus
712b71c5ca
Mirror port 1 column decoder in X and Y
2018-11-15 15:26:59 -08:00
Jennifer Eve Sowash
c73004de35
Merge branch 'pdriver' of https://github.com/VLSIDA/PrivateRAM into pdriver
2018-11-15 14:06:38 -08:00
Jesse Cirimelli-Low
59c0421804
merge dev into datasheet_gen; fixed merge conflict in hierarchy_design.py
2018-11-15 10:45:33 -08:00
Matt Guthaus
21d111acfe
Move wordline driver clock line below decoder. Fix port 1 clock route DRC.
2018-11-15 10:30:38 -08:00
Hunter Nichols
6e47de3f9b
Separated relative delay into rise/fall.
2018-11-14 23:34:53 -08:00
Matt Guthaus
66982a9283
Only add second port if it is specified.
2018-11-14 17:11:23 -08:00
Matt Guthaus
2fd86958a8
Merge branch 'multiport_layout' of ssh://scone/home/mrg/openram into multiport_layout
2018-11-14 17:07:01 -08:00
Matt Guthaus
3cfefa784f
Fix run-time bug in combine adjacent pins for supply router
2018-11-14 17:06:12 -08:00
Matt Guthaus
3221d3e744
Add initial support and unit tests for 2 port SRAM
2018-11-14 17:05:23 -08:00
Hunter Nichols
e9f6566e59
Fixed merge conflict, moved control logic mod instantiation, removed some commented out code.
2018-11-14 13:53:27 -08:00
Matt Guthaus
6ac5adaeca
Separate multiport replica bitline from regular replica bitline test
2018-11-14 11:41:09 -08:00
Matt Guthaus
2f6300c7a0
Fix date/time formatting to remove fraction seconds.
2018-11-14 10:31:33 -08:00
Matt Guthaus
18d874a96a
Fix error in iterative implementation of combine_classes
2018-11-14 10:05:04 -08:00
Hunter Nichols
8b6a28b6fd
Changed scmos bitcell 1rw,1r to have same tx widths as pbitcell.
2018-11-13 22:24:18 -08:00
Matt Guthaus
4ebb8a26c4
Disable debug statements.
2018-11-13 17:43:08 -08:00
Matt Guthaus
ddb4cabfe1
Change recursive equivalence class detection to iterative.
2018-11-13 17:42:06 -08:00
Matt Guthaus
ff0a7851b7
Fix error when DRC is disabled so it doesn't initialize.
2018-11-13 17:41:32 -08:00
Jesse Cirimelli-Low
fa27d647d2
Flask directory upload POC, embed datasheet.info in html comment for parser reuse
2018-11-13 17:29:43 -08:00
Matt Guthaus
ce74827f24
Add new option to enable inline checks at each level of hierarchy. Default is off.
2018-11-13 16:51:19 -08:00
Matt Guthaus
01ceedb348
Only check number of ports when doing layout.
2018-11-13 16:42:25 -08:00
Matt Guthaus
bc7e74f571
Add multiport bank test
2018-11-13 16:06:21 -08:00
Matt Guthaus
aa779a7f82
Initial two port bank in SCMOS
2018-11-13 16:05:22 -08:00
Jennifer Sowash
b6f1409fb9
Testing to ensure branch is up to date with dev. Added 04_pbuf_test.py and made changes to pbuf.py to align with comments.
2018-11-12 13:24:27 -08:00
Jennifer Sowash
b366d88041
Merge branch 'dev' into pdriver
2018-11-12 11:30:37 -08:00
Jennifer Sowash
82abd32785
Added pbuf.py to create a single buffer.
2018-11-12 09:53:21 -08:00
Hunter Nichols
6f6d45f025
Merge branch 'dev' into multiport_characterization
2018-11-11 23:47:49 -08:00
Matt Guthaus
732f35a362
Change channel router to route from bottom up to simplify code.
2018-11-11 12:25:53 -08:00
Matt Guthaus
791d74f63a
Fix wrong exception handling that depended on order. Replaced with if/else instead.
2018-11-11 12:02:42 -08:00
Jesse Cirimelli-Low
0dd97e54dd
reverted css to UCSC colors, fixed header styling, added placeholder openram logo
2018-11-11 09:27:07 -08:00
Jesse Cirimelli-Low
4227a7886a
Merge branch 'dev' into datasheet_gen
2018-11-11 07:27:42 -08:00
Jesse Cirimelli-Low
91a63fb5c2
Merge branch 'dev'
2018-11-11 07:24:03 -08:00
Jesse Cirimelli-Low
5c4ee911aa
added another VLSI logo and fixed control port numbering
2018-11-11 07:22:13 -08:00
Jesse Cirimelli-Low
aadf160ce4
added missing space in sheet
2018-11-11 06:05:14 -08:00
Jesse Cirimelli-Low
4ba07e4b94
Complete rewrite of parser, all ports (except clock) added on multiport sheets
2018-11-10 20:23:26 -08:00
Matt Guthaus
5cbbd5e4ca
Comment out regress CI debug code
2018-11-10 13:44:36 -08:00
Matt Guthaus
6c17734712
Add testutil archive on failed tests for debug
2018-11-10 11:54:28 -08:00
Jesse Cirimelli-Low
62f8d26ec6
Merge branch 'dev' into datasheet_gen
2018-11-10 10:58:35 -08:00
Matt Guthaus
65b6bfd5e7
Change os to shutils
2018-11-10 10:06:33 -08:00
Matt Guthaus
3b6b93e2ca
Save gds file in testutils when fail to figure out randomness in regression CI
2018-11-10 10:05:27 -08:00
Hunter Nichols
bad55cfd05
Merged with dev. Fixed merge conflict.
2018-11-09 17:18:19 -08:00
Hunter Nichols
ea1a1c7705
Added delay chain resizing based on analytical delay.
2018-11-09 17:14:52 -08:00
Matt Guthaus
550d5cc729
Fix path to config file in test 30
2018-11-09 16:33:08 -08:00
Matt Guthaus
de61630962
Expand blocked pins to neighbor grid cells.
2018-11-09 14:25:10 -08:00
Matt Guthaus
c5b408ae2d
Add router output message
2018-11-09 11:10:40 -08:00
Matt Guthaus
c01effc819
Adjust ptx positions in precharge to be under the bl rail
2018-11-09 10:26:15 -08:00
Matt Guthaus
ac7229f8d3
Move vdd pin in precharge inside cell
2018-11-09 10:11:24 -08:00
Matt Guthaus
cc619084c7
Clean up psingle_bank_test
2018-11-09 09:34:34 -08:00
Matt Guthaus
21f5fb0870
precharge bl is on metal2 only. simplify via position code.
2018-11-09 09:11:00 -08:00
Matt Guthaus
6aff552c0a
Merge branch 'multiport_layout' of https://github.com/VLSIDA/PrivateRAM into multiport_layout
2018-11-09 08:53:27 -08:00
Matt Guthaus
8f3fa0e2f6
Fix blocked pin debug output.
2018-11-09 08:52:05 -08:00
Hunter Nichols
8957c556db
Added sense amp enable delay calculation.
2018-11-08 23:54:18 -08:00
Hunter Nichols
b8061d3a4e
Added initial code for determining the logical effort delay of the wordline.
2018-11-08 23:54:18 -08:00
Jesse Cirimelli-Low
d6c0247ff2
added area to datasheet
2018-11-08 21:30:17 -08:00
Jesse Cirimelli-Low
30bffdf1b4
Merge branch 'dev' into datasheet_gen
2018-11-08 19:26:00 -08:00
Matt Guthaus
9c8d5395ff
Update leakage data for scn4m
2018-11-08 18:16:01 -08:00
Matt Guthaus
31eff6f24e
Merge branch 'dev' into multiport_layout
2018-11-08 18:00:28 -08:00
Matt Guthaus
5d684b02e0
Leakage changed in ngspice test.
2018-11-08 18:00:09 -08:00
Matt Guthaus
71177d0b70
Fixed small bugs with new port index stuff and layout.
2018-11-08 17:40:22 -08:00
Matt Guthaus
d03c9d5294
Fix write bl name list in replica bitline
2018-11-08 17:02:20 -08:00
Matt Guthaus
fd5cd675ac
Horizontal increments top down.
2018-11-08 17:01:57 -08:00
Matt Guthaus
18fbf30b46
Convert col decoder select routing to channel route.
2018-11-08 16:53:58 -08:00
Matt Guthaus
e28978180f
Vertical channel routes go from left right. Horizontal go bottom up.
2018-11-08 16:49:02 -08:00
Matt Guthaus
ef2ed9a92c
Simplify bl and br name lists.
2018-11-08 15:48:49 -08:00
Matt Guthaus
5d733154e9
Refactor bank to allow easier multiport.
2018-11-08 15:18:51 -08:00
Matt Guthaus
7b10e3bfec
Convert port index lists to three simple lists.
2018-11-08 12:19:40 -08:00
Matt Guthaus
b25650eb07
Netlist only mode for ngspice delay test
2018-11-08 12:19:06 -08:00
Matt Guthaus
dd5b2a5b59
Fix missing fail when non-list item doesn't match.
2018-11-08 12:16:59 -08:00
Michael Timothy Grimes
7c3375fd4b
Merge branch 'dev' of https://github.com/VLSIDA/PrivateRAM into multiport
2018-11-08 09:59:52 -08:00
Matt Guthaus
929eae4a23
Document why sense amp is 8x isolation transistor
2018-11-07 16:09:50 -08:00
Matt Guthaus
5dfba21acc
Change tx mux size back to 8. Document why it was chosen.
2018-11-07 16:03:48 -08:00
Matt Guthaus
3d2abc0873
Change default col mux size to 2. Add some comments.
2018-11-07 15:43:08 -08:00
Matt Guthaus
ad7fe1be51
Clean up code formatting.
2018-11-07 14:52:03 -08:00
Matt Guthaus
4e232c49ad
Update precharge cell for multiport.
...
Comment out pbitcell tests.
Add bitcell_1rw_1r test.
Move bitcell horizontal routing to metal1.
Extend precharge height for stacking.
2018-11-07 14:46:51 -08:00
Matt Guthaus
050035ae8d
Add magic/netgen to example config
2018-11-07 13:54:00 -08:00
Matt Guthaus
2e5ae70391
Enable psram 1rw 2mux layout test.
2018-11-07 13:37:08 -08:00
Matt Guthaus
f04e76a54f
Allow multiple must-connect pins with the same label.
2018-11-07 13:05:13 -08:00
Matt Guthaus
8d753b5ac7
Primitive cells only keep the largest pin shape.
2018-11-07 11:58:31 -08:00
Matt Guthaus
1fe767343e
Reimplement gdsMill pin functions so they are run once when a GDS is loaded. Get pins is now a table lookup.
2018-11-07 11:31:44 -08:00
Jesse Cirimelli-Low
00dd6ddfd0
Merge branch 'dev' into datasheet_gen
2018-11-07 10:47:37 -08:00
Jesse Cirimelli-Low
781bd13cc1
Merge branch 'dev' into datasheet_gen
2018-11-07 10:08:45 -08:00
Matt Guthaus
485590052a
Merge branch 'supply_routing' of https://github.com/VLSIDA/PrivateRAM into supply_routing
2018-11-06 07:56:57 -08:00
Matt Guthaus
279fe4d103
Merge branch 'dev' into supply_routing
2018-11-06 07:56:29 -08:00
Matt Guthaus
86a8dca584
Merge branch 'dev' into supply_routing
2018-11-05 15:04:57 -08:00
Hunter Nichols
ff169fcb2b
Merged with dev, fixed config file conflict.
2018-11-05 14:58:52 -08:00
Hunter Nichols
4c26dede23
Unskipped functional tests and increases the number of ports on pbitcell functional tests.
2018-11-05 14:56:22 -08:00
Matt Guthaus
831e454b34
Remove redundant DRC run in magic.
2018-11-05 13:30:42 -08:00
Matt Guthaus
37b81c0af1
Remove options from example config files
2018-11-05 12:47:47 -08:00
Matt Guthaus
02bafb4757
Merge remote-tracking branch 'origin/dev' into supply_routing
2018-11-05 12:44:46 -08:00
Hunter Nichols
9744bc516a
Merge branch 'dev' into multiport_characterization
2018-11-05 10:40:29 -08:00
Matt Guthaus
ce94366a1d
Skip all 4mux and 8mux tests until we solve teh simulation timing bug.
2018-11-05 09:50:44 -08:00
Michael Timothy Grimes
3c9821991b
Merge branch 'dev' of https://github.com/VLSIDA/PrivateRAM into multiport
2018-11-05 08:56:19 -08:00
Matt Guthaus
38dab77bfc
Add fixed seed to functional test during unit tests. Skip non-working tests after fixed seed.
2018-11-03 10:53:09 -07:00
Matt Guthaus
5d2df76ef5
Skip 4mux test
2018-11-03 10:16:22 -07:00
Matt Guthaus
5ecfa88d2a
Pad the routing grid by a few tracks to add an extra rail
2018-11-02 17:35:35 -07:00
Matt Guthaus
a3666d82ab
Reduce verbosity of level 1 debug.
2018-11-02 17:30:28 -07:00
Hunter Nichols
7461f2b1bf
Merged with dev.
2018-11-02 17:22:09 -07:00
Hunter Nichols
f05865b307
Fixed drc issues with replica bitline test.
2018-11-02 17:16:41 -07:00
Matt Guthaus
f8e761313a
Merge branch 'dev' into supply_routing
2018-11-02 16:39:49 -07:00
Matt Guthaus
852bfbc031
Merge branch 'dev' of github.com:VLSIDA/PrivateRAM into dev
2018-11-02 16:34:36 -07:00
Matt Guthaus
6dd959b638
Fix error in 8mux test. Fix comment in all tests.
2018-11-02 16:34:26 -07:00
Matt Guthaus
ad1d3a3c78
Use default grid costs again.
2018-11-02 16:04:56 -07:00
Matt Guthaus
3950a9feff
Merge branch 'supply_routing' into dev
2018-11-02 15:31:29 -07:00
Matt Guthaus
74c3de2812
Remove diagonal routing bug. Cleanup.
2018-11-02 14:57:40 -07:00
Matt Guthaus
ac203d987c
Merge branch 'supply_routing' into dev
2018-11-02 11:50:46 -07:00
Matt Guthaus
866eaa8b02
Add debug message when routes are diagonal.
2018-11-02 11:50:28 -07:00
Matt Guthaus
4d30f214da
Add expanded blockages for paths an enclosures to handle wide metal spacing rules.
2018-11-02 11:11:32 -07:00
Michael Timothy Grimes
6711630463
Altering the routing slightly in the column mux to give the gnd contacts a wider berth. This prevents drc errors when the bitlines are close to the edge of the cell.
2018-11-02 05:59:47 -07:00
Hunter Nichols
642dc8517c
Added no mux functional test for 1rw+1r. Delay characterization also works for the custom cell as well.
2018-11-01 14:05:55 -07:00
Jesse Cirimelli-Low
3fa1d5522e
added DRC/LVS error count to datasheet
2018-11-01 14:02:33 -07:00
Hunter Nichols
b00fc040a3
Added replica 1rw+1r cell python modules. Also added drc/lvs checks in replica bitline, but test is failing due to pin error in freepdk45 and metal spacing error in scmos.
2018-11-01 12:29:49 -07:00
Matt Guthaus
b24c8a42a1
Remove redundant pins in pin_group constructor. Clean up some code and comments.
2018-11-01 11:31:24 -07:00
Michael Timothy Grimes
dc96d86082
Optimizations to pbitcell spacings
2018-11-01 07:58:20 -07:00
Matt Guthaus
2eedc703d1
Rename function in pin_group
2018-10-31 16:13:28 -07:00
Matt Guthaus
c511d886bf
Added new enclosure connector algorithm using edge sorting.
2018-10-31 15:35:39 -07:00
Jesse Cirimelli-Low
ce5001e0af
added config file to datasheet and output files
2018-10-31 12:29:13 -07:00
Jesse Cirimelli-Low
c3d7e24df9
fixed broken links when -o flag set
2018-10-31 09:34:36 -07:00
Matt Guthaus
673027ac8c
Moved assert to check out_path earlier.
...
Preserve temporary output directory with -d option.
2018-10-31 09:37:47 -07:00
Hunter Nichols
9321f0461b
Fixed error in control logic test. Added gds/sp for replica cell 1rw+1r.
2018-10-31 00:06:34 -07:00
Jesse Cirimelli-Low
5302fd205f
fixed some final typos in datasheet
2018-10-30 23:03:05 -07:00
Jesse Cirimelli-Low
70ac2e8aa4
changed css to orange and black for Halloween; fixed CSb timing table in datasheet
2018-10-30 22:56:13 -07:00
Jesse Cirimelli-Low
fe196c23a9
added FF timing information
2018-10-30 22:32:19 -07:00
Hunter Nichols
e5dcf5d5b1
Altered bitline with heuristic to have a larger delay chain for larger column muxes. Also have to alter the feasible period for functional tests to pass.
2018-10-30 22:19:26 -07:00
Jesse Cirimelli-Low
905f6f8b43
added docstring and renamed some functions
2018-10-30 21:37:30 -07:00
Matt Guthaus
fc45242ccb
Allow contains to contain copy. Add connectors when pin doesn't overlap grids.
2018-10-30 17:41:29 -07:00
Matt Guthaus
7099ee76e9
Remove blocked grids from pins and secondary grids
2018-10-30 16:52:11 -07:00
Matt Guthaus
1344a8f7f1
Add remove adjacent feature for wide metal spacing
2018-10-30 12:24:13 -07:00
Matt Guthaus
c4163d3401
Remove debug statements.
2018-10-29 13:50:56 -07:00
Matt Guthaus
fa272be3bd
Enumerate more enclosures.
2018-10-29 13:49:29 -07:00
Matt Guthaus
cd87df8f76
Clean up enclosure code
2018-10-29 11:27:59 -07:00
Matt Guthaus
f19bcace62
Merged in an old stash.
2018-10-29 11:18:12 -07:00
Matt Guthaus
b7655eab10
Remove bug for combining pin with multiple other pins in a single iteration
2018-10-29 11:07:02 -07:00
Matt Guthaus
bbffec863b
Abandon connectors for now and opt for all enclosures
2018-10-29 10:59:22 -07:00
Matt Guthaus
6990773ea1
Add error check requiring non-zero area pin layouts.
2018-10-29 10:32:42 -07:00
Matt Guthaus
851aeae8c4
Add pins_enclosed function to pin_group
2018-10-29 10:28:57 -07:00
Jesse Cirimelli-Low
2da90c4b6a
fixed double counting of characterization tuple permutations
2018-10-27 12:04:10 -07:00
Jesse Cirimelli-Low
f1fb174b53
fixed bug where netlist_only still produced layout deliverables
2018-10-27 11:21:06 -07:00
Hunter Nichols
3bb8aa7e55
Fixed import errors with mux analytical delay model.
2018-10-26 17:37:25 -07:00
Matt Guthaus
0107e1c050
Reduce verbosity of utils
2018-10-26 13:02:31 -07:00
Matt Guthaus
7d74d34c53
Fix pin_layout contains bug
2018-10-26 10:40:43 -07:00
Matt Guthaus
4ce6b040fd
Debugging missing enclosures
2018-10-26 09:25:10 -07:00
Jesse Cirimelli-Low
fcfee649d5
moved css into a seperate file to organize and disambiguate docstrings from multiline strings
2018-10-26 07:57:54 -07:00
Hunter Nichols
98a00f985b
Changed the analytical delay model to accept multiport options. Little substance to the values generated.
2018-10-26 00:08:13 -07:00
Hunter Nichols
6efe0f56c2
Added gds/sp for scn4m 1rw+1r bitcell. Passes DRC/LVS in both technologies for single and array.
2018-10-26 00:08:13 -07:00
Hunter Nichols
8e243258e4
Added updated 1rw 1r bitcell with a text boundary. Added bitcell array test for the bitcell.
2018-10-26 00:08:12 -07:00
Matt Guthaus
9e5d78cfc2
Fix bug in duplicate remove indices
2018-10-25 14:40:39 -07:00
Matt Guthaus
3407163cf1
Combine adjacent power supply pins finished
2018-10-25 14:25:52 -07:00
Matt Guthaus
0544d02ca2
Refactor router to have pin_groups for pins and router_tech file
2018-10-25 13:36:35 -07:00
Matt Guthaus
3f17679000
Merge remote-tracking branch 'origin' into supply_routing
2018-10-25 09:36:03 -07:00
Matt Guthaus
57fb847d50
Fix check for missing simulator type in characterizer
2018-10-25 09:08:56 -07:00
Matt Guthaus
3d8aeaa732
Run delay and setup/hold tests in netlist_only mode
2018-10-25 09:07:00 -07:00
Matt Guthaus
58de655aac
Split functional tests
2018-10-25 08:56:23 -07:00
Michael Timothy Grimes
3202e1eb09
Altering comment code in simulation.py to match the needs of delay.py
2018-10-25 00:58:01 -07:00
Michael Timothy Grimes
40450ac0f5
Merge branch 'dev' of https://github.com/VLSIDA/PrivateRAM into multiport
2018-10-25 00:36:46 -07:00
Michael Timothy Grimes
ceab1a5daf
Adding debug comments to stim file for functional test and cleaning up comment code in simulation.py. Adding multiple tests for different mux configurations to functional unit tests.
2018-10-25 00:11:00 -07:00
Matt Guthaus
b1f3bd97e5
Enable all the 1bank tests. Mostly work in SCMOS.
2018-10-24 17:01:00 -07:00
Matt Guthaus
88f43cc754
Add the minimum pin enclosure that has DRC correct pin connections.
2018-10-24 16:41:33 -07:00
Matt Guthaus
94e5050513
Move overlap functions to pin_layout
2018-10-24 16:13:07 -07:00
Matt Guthaus
dc73e8cb60
Odd bug that instances were not properly rotated.
2018-10-24 16:12:27 -07:00
Matt Guthaus
7e2bef624e
Continue routing rails in same layer after a blockage
2018-10-24 12:32:27 -07:00
Hunter Nichols
a711a5823d
Merged dev and fix conflicts in geometry.py
2018-10-24 10:52:22 -07:00
Matt Guthaus
cccde193d0
Add ngspice equivalents of RUNLVL
2018-10-24 10:31:27 -07:00
Matt Guthaus
5f17525501
Added run-level option for write_control and enabled fast mode in functional tests
2018-10-24 09:32:44 -07:00
Matt Guthaus
33c716eda8
Rename psram bank test like sram bank testss
2018-10-24 09:08:54 -07:00
Matt Guthaus
e90f9be6f5
Move replica bitcells to new bitcells subdir
2018-10-24 09:06:29 -07:00
Hunter Nichols
5c8a00ea1d
Fixed pruned golden lib file from error in last commit.
2018-10-24 00:55:55 -07:00
Hunter Nichols
da1b003d10
Fixed multiport lib files not generating the correct number of signals. Move setup time from DOUT to DIN in lib file. Altered golden files with these changes.
2018-10-24 00:17:08 -07:00
Hunter Nichols
016604f846
Fixed spacing in golden lib files. Added column mux into analytical model.
2018-10-24 00:16:26 -07:00
Hunter Nichols
53cb4e7f5e
Fixed lib files to be syntactically correct with multiport. Fixed issue in geometry.py that prevented netlist_only option from working.
2018-10-22 23:33:01 -07:00
Hunter Nichols
62439bdac6
Fixed merge conflicts with sram.py
2018-10-22 17:29:14 -07:00
Hunter Nichols
4f08062268
Added custom 1rw+1r bitcell. Testing are currently failing.
2018-10-22 17:02:21 -07:00
Michael Timothy Grimes
cda2e93cd7
Adding fix to netlist_only mode in geometry.py. Uncommenting functional tests and running both tests in netlist_only mode.
2018-10-22 09:17:03 -07:00
Michael Timothy Grimes
2053a1ca4d
Improved debug comments for functional test
2018-10-22 01:09:38 -07:00
Michael Timothy Grimes
1a0568f244
Updating comments and cleaning up code for pbitcell.
2018-10-21 19:10:04 -07:00
Matt Guthaus
ab7a83b7a5
Remove old setup.tcl and edit one in tech dir
2018-10-20 15:20:15 -07:00
Matt Guthaus
e48e12e8cd
Skip non-working 1bank tests for now.
2018-10-20 14:55:11 -07:00
Matt Guthaus
38a8c46034
Change non-preferred route costs.
2018-10-20 14:47:24 -07:00
Matt Guthaus
7591f25a2e
Merge branch 'dev' into supply_routing
2018-10-20 14:29:19 -07:00
Matt Guthaus
5276943ba2
Remove temp log file
2018-10-20 14:26:30 -07:00
Matt Guthaus
4c25bb09df
Fixed supply end-row via problem by restricting placement
2018-10-20 14:25:32 -07:00
Matt Guthaus
f5e68c5c32
Move power pins in hierarchical decoder to be further. Strap rails instead for redundant vias.
2018-10-20 12:54:12 -07:00
Matt Guthaus
f9738253c6
Remove warning of track space and floor the space function.
2018-10-20 11:53:52 -07:00
Matt Guthaus
a1f2a5befe
Convert supply tracks to sets for simpler algorithms.
2018-10-20 10:33:10 -07:00
Matt Guthaus
0aad61892b
Supply router working except for off by one rail via error
2018-10-19 14:21:03 -07:00
Matt Guthaus
233a1425e4
Flatten bitcell array in netgen for now. See issue 52
2018-10-19 09:13:17 -07:00
jcirimel
74b806fa38
Merge pull request #54 from VLSIDA/datasheet_gen
...
flask_table check fix
2018-10-18 15:12:04 -07:00
Jesse Cirimelli-Low
1b4383b945
moved flask_table warning from sram.py to datasheet_gen.py
2018-10-18 09:58:19 -07:00
Jesse Cirimelli-Low
b9990609bf
provides warning on missing flask packages, does not generate html on missing packages
2018-10-18 07:21:03 -07:00
Michael Timothy Grimes
a06a0975db
Removed L shaped routing from gnd contact to wordlines in replica bitline. Corrected slight DRC errors. Optimizations to pbitcell.
2018-10-18 07:05:47 -07:00
Jesse Cirimelli-Low
ab6afb7ca8
fixed html typos, added logo, added placeholder timing and current, began ports section
2018-10-17 19:27:09 -07:00
Matt Guthaus
4bf1e206e2
Merge branch 'dev' into supply_routing
2018-10-17 09:47:18 -07:00
Matt Guthaus
5d6944953b
Fix char_result rename collision
2018-10-17 09:38:26 -07:00
Michael Timothy Grimes
d6a9ea48ac
Working out bugs in psram functional test for SCMOS. Commenting out for now.
2018-10-17 07:45:24 -07:00
Michael Timothy Grimes
a27cdb4fbc
Merge branch 'dev' of https://github.com/VLSIDA/PrivateRAM into multiport
2018-10-17 07:32:03 -07:00
Michael Timothy Grimes
e60deddfea
adding 6T transistor size parameters to tech files for use in pbitcell.
2018-10-17 07:28:56 -07:00
Michael Timothy Grimes
69a1560186
Changing the location of the vdd contact in precharge to avoid drc errors when the bitlines are close to the edge of the cell. Correcting replica bitcell function in pbitcell.
2018-10-16 06:57:53 -07:00
Matt Guthaus
5cb3a24b19
Fix supply rail step size to place alternating rails
2018-10-15 13:58:40 -07:00
Matt Guthaus
e2cfd382b9
Fix print check regression
2018-10-15 13:23:31 -07:00
Matt Guthaus
a165446fa7
First implementation of multiple track spacing wide DRCs in routing grid.
2018-10-15 11:25:51 -07:00
Matt Guthaus
d60986e590
Don't skip grid format checks
2018-10-15 11:21:07 -07:00
Matt Guthaus
d855d4f1a6
Moving wide metal spacing to routing grid level
2018-10-15 09:59:16 -07:00
Michael Timothy Grimes
c8c70401ae
Redesign of pbitcell for newer process technolgies.
2018-10-15 06:29:51 -07:00
Matt Guthaus
1c426aad29
Merge remote-tracking branch 'origin/datasheet_gen' into supply_routing
2018-10-12 20:55:57 -07:00
Matt Guthaus
ce8c2d983d
Update all drc usages to call function type
2018-10-12 14:37:51 -07:00
Jesse Cirimelli-Low
afba54a22d
added analytical model support, added proper output with sram.py
2018-10-12 13:22:12 -07:00
Matt Guthaus
5e9fe65907
Remove banks from example configs
2018-10-12 10:23:34 -07:00
Matt Guthaus
4932d83afc
Add design rules classes for complex design rules
2018-10-12 09:44:36 -07:00
Michael Timothy Grimes
d1701b8a2a
Removing extra functional test and changing name to a more general form. Spice exe can just be selected from the command line with -s.
2018-10-12 06:29:59 -07:00
Jesse Cirimelli-Low
50cc8023a4
deleted output file left in previous commit
2018-10-11 16:04:43 -07:00
Jesse Cirimelli-Low
35e0ba6fc4
fixed merge error
2018-10-11 16:03:05 -07:00
Jesse Cirimelli-Low
cfb5921d98
reorganized code structure
2018-10-11 15:59:06 -07:00
Jesse Cirimelli-Low
d142136735
rewrite of redirected print statements to file write
2018-10-11 12:09:50 -07:00
Jesse Cirimelli-Low
bc54bc238f
removed tabs and fixed bug in which datasheets generated without the characterizer running
2018-10-11 11:18:40 -07:00
Matt Guthaus
297ea81060
Change RBL size to 50% of row size.
2018-10-11 10:39:24 -07:00
Matt Guthaus
1333329dd4
Merge branch 'multiport' into supply_routing
2018-10-11 10:37:10 -07:00
Matt Guthaus
f7d1df6ca7
Fix trim spice with new names
2018-10-11 10:36:49 -07:00
Matt Guthaus
e759c9350b
Skip psram 1 bank
2018-10-11 10:17:50 -07:00
Matt Guthaus
a094db9077
Merge branch 'multiport' into supply_routing
2018-10-11 09:56:38 -07:00
Matt Guthaus
823cb04b80
Fix metal4 rules in FreePDK45. Multiport still needs updating.
2018-10-11 09:56:15 -07:00
Matt Guthaus
e22e658090
Converted all submodules to use _bit notation instead of [bit]
2018-10-11 09:53:08 -07:00
Matt Guthaus
3f2b7b837d
Skip multibank for now too
2018-10-10 16:57:42 -07:00
Matt Guthaus
22b5010734
Skip pmulti which has LVS fail
2018-10-10 16:01:55 -07:00
Matt Guthaus
96d3cacb9c
Skip func tests that are failing
2018-10-10 16:00:21 -07:00
Matt Guthaus
9bb1c2bbcf
Fix Future Warning for real
2018-10-10 15:58:16 -07:00
Matt Guthaus
13e83e0f1a
Separate 1bank tests
2018-10-10 15:58:00 -07:00
Matt Guthaus
fa4dd8881c
Fix Future warnings comparison to None
2018-10-10 15:47:14 -07:00
Matt Guthaus
6bbf66d55b
Rewrote pin enclosure code to better address off grid pins.
...
Include only maximal pin enclosure shapes.
Add smallest area connector for off grid pins.
Fix decoder to use add_power_pin code.
Change permissions.
2018-10-10 15:15:58 -07:00
Hunter Nichols
f30e54f33c
Cleaned up indexing in variable that records cycle times.
2018-10-10 00:02:03 -07:00
Hunter Nichols
3ac2d29940
Made delay.py a child of simulation.py. Removed duplicate code in delay and changed some in simulation
2018-10-09 17:44:28 -07:00
Hunter Nichols
a3bec5518c
Put worst case test under the hierarchy of a delay test. Added option for pex option to worst case test.
2018-10-09 00:36:14 -07:00
Hunter Nichols
fd806077d2
Added class and test for testing the delay of several bitcells.
2018-10-08 15:50:52 -07:00
Matt Guthaus
a2b1d025ab
Merge multiport
2018-10-08 11:45:50 -07:00
Matt Guthaus
3244e01ca1
Add copy power pin function
2018-10-08 09:56:39 -07:00
Matt Guthaus
280488b3ad
Add M3 supply to pinvbuf
2018-10-08 09:24:16 -07:00
Michael Timothy Grimes
6ef1a3c755
Improvements to functional test. Now will read or write in a random sequence, using randomly generated words and addresses, and using random ports in the multiported cases. Functional test still has some bugs that are being worked out so it will sometimes fail and sometimes not fail.
2018-10-08 06:34:36 -07:00
Jesse Cirimelli-Low
49268b025f
fixed /tmp/ typo
2018-10-06 21:17:26 -07:00
Jesse Cirimelli-Low
fa979e2d34
initial stages of html documentation generation
2018-10-06 21:15:54 -07:00
Matt Guthaus
06dc910390
Route supply after moving origin
2018-10-06 14:03:00 -07:00
Matt Guthaus
8499983cc2
Add supply router to top-level SRAM. Change get_pins to elegantly fail.
2018-10-06 08:30:38 -07:00
Matt Guthaus
83fd2c0512
Fix openram_temp directory
2018-10-06 08:08:01 -07:00
Matt Guthaus
94ab69ea16
Supply router working, perhaps not efficiently though.
2018-10-05 15:57:34 -07:00
Matt Guthaus
eb2304944b
Fix .magicrc file name
2018-10-05 08:48:25 -07:00
Matt Guthaus
12cb02a09f
Add partial grids as pins. Add previous paths as routing targets.
2018-10-05 08:39:28 -07:00
Matt Guthaus
c0ffa9cc7b
Clean up magic config file copying. Add warning for missing files.
2018-10-05 08:36:12 -07:00
Matt Guthaus
b3fa6b9d52
Make setup.tcl file a technology file
2018-10-05 08:30:25 -07:00
Matt Guthaus
19114fe47f
Add commented extraction when running DRC only
2018-10-05 08:18:53 -07:00
Matt Guthaus
bb83e5f1be
Move clk up in dff arrays for supply pin access
2018-10-05 08:18:38 -07:00
Matt Guthaus
68b30d601e
Move bitcells to their own directory in preparation for custom multiport cells.
2018-10-05 08:09:09 -07:00
Hunter Nichols
7b4e001885
Altered web to only be generated for rw ports.
2018-10-04 15:08:12 -07:00
Matt Guthaus
c3cd76048b
Removed prints. Fixed offset for single track enclosure.
2018-10-04 14:44:25 -07:00
Hunter Nichols
371a57339f
Fixed bugs to allow characterization of multiple read ports. Improved some debug messages.
2018-10-04 14:09:09 -07:00
Hunter Nichols
6e0a1b8823
Fixed bugs in power simulations. Made regex raw strings to remove warnings
2018-10-04 14:09:09 -07:00
Hunter Nichols
c876bbfe73
Changed characterizer control generation to match recent changes in multiport.
2018-10-04 14:09:09 -07:00
Hunter Nichols
2e322be7f7
Added changes the control logic PWL generation to match changes made in stimuli.
2018-10-04 14:09:09 -07:00
Hunter Nichols
88f2238e03
Multiport variable bug fix and removed unused code.
2018-10-04 14:09:09 -07:00
Hunter Nichols
bb79d9a62d
Added regex pattern matching to trim_spice to handle multiport.
2018-10-04 14:09:09 -07:00
Hunter Nichols
e7f92e67d0
Fixed issues with inst_sram that prevented functional test from running after merge.
2018-10-04 14:09:01 -07:00
Hunter Nichols
6c537c4884
Made stim node names more ngspice friendly for interactive mode. Cleaned up cycle comments. Changed ground names in stim and added related comments.
2018-10-04 14:06:43 -07:00
Hunter Nichols
65edc70cfd
Made global names for pins types. Fixed bugs in tests.
2018-10-04 14:06:43 -07:00
Hunter Nichols
d2120d6910
Moved pin name creation from stimuli to delay and bug fix in find_feasible_period_one_port
2018-10-04 14:06:34 -07:00
Matt Guthaus
985d04d4b5
Cleanup of router.
...
Made offsets in geometry snap to grid.
Changed gds_write to use list for visited flag.
Rewrite self.gds each call in case of any changes.
2018-10-04 14:04:29 -07:00
Hunter Nichols
4586ed343f
Edited lib to support port indexing. Edited tests in reaction to name dict name changes. Cleaned up measurement value generation in delay.
2018-10-04 14:04:08 -07:00
Hunter Nichols
ab7d3510b5
Cleaned up result tables to be indexed by port and measurement name. Lib has not been updated, so it crashes there.
2018-10-04 14:04:08 -07:00
Hunter Nichols
346b188372
Improved on some hard coded values which determine the measurements.
2018-10-04 14:04:08 -07:00
Hunter Nichols
cfe15d48a4
Added changes to make changing the names of the measurements simple in delay.py. Results in some hardcoded values which is TODO for a fix.
2018-10-04 14:04:08 -07:00
Hunter Nichols
aa0d032c78
Cleaned the char_data to fit the previous style. Added print statements to load/slew sims.
2018-10-04 14:04:08 -07:00
Michael Timothy Grimes
cf4b216888
Correcting functional inheritance from simulation.
2018-10-04 13:55:59 -07:00
Michael Timothy Grimes
e258199fa3
Removing we_b signal from write ports since it is redundant.
2018-10-04 09:31:04 -07:00
Michael Timothy Grimes
34d8a19871
Adding simulation.py for common functions between functional and delay tests. Updating functional test.
2018-10-04 09:29:44 -07:00
Michael Timothy Grimes
bea6b0b5dc
Renaming functional tests to include spice exe used. Renaming pex test to separate functional tests from pex test.
2018-09-30 22:39:37 -07:00
Michael Timothy Grimes
6d83ebf50f
updating debug messages in functional test
2018-09-30 22:10:11 -07:00
Michael Timothy Grimes
8a56dd2ac9
Finished functional test
2018-09-30 21:20:01 -07:00
Michael Timothy Grimes
26c6232564
Updating functional test. Test can now run a spice simulation and read the dout values from the timing files.
2018-09-28 23:38:48 -07:00
Michael Timothy Grimes
a71486e22f
Adding mutliport constants to design.py to reduce the need for copied code across multiple modules.
2018-09-28 00:11:39 -07:00
Michael Timothy Grimes
66933ed922
Merge branch 'dev' of https://github.com/VLSIDA/PrivateRAM into multiport
2018-09-27 02:02:24 -07:00
Michael Timothy Grimes
19d68f613e
Making changes to bank select for multiport. The height of the nor gate using pbitcell was too short and one of the contacts violated drc. Extended height of nor by drc spacing violation so it could pass in multiport.
2018-09-27 02:01:32 -07:00
Michael Timothy Grimes
1ca0154027
Editting top level netlist for multiport. Now there are multiple control logic modules, one per port. Since diffent ports are driven by different clocks, also separating dff modules, one per port.
2018-09-26 19:10:24 -07:00
Michael Timothy Grimes
648e57d195
Altering bank select for port specific use. Altering bank select test to test different port types. Altering bank for control signal changes.
2018-09-26 14:53:55 -07:00
Michael Timothy Grimes
f1560375fc
Altering control logic for read ports and write ports, by including only read or write specific circuitry. Altering replica bitline layout to support multiport
2018-09-25 20:00:25 -07:00
Matt Guthaus
a7246f5e7f
Rename omits 0 size ports
2018-09-24 13:44:31 -07:00
Matt Guthaus
9b0142d6b9
Comment debug for possible performance issue
2018-09-24 11:44:32 -07:00
Matt Guthaus
a3f13d6eab
Remove banks from test configs
2018-09-24 11:41:51 -07:00
Matt Guthaus
2df9b79b28
Remove scn3me lib files. Remove bank references.
2018-09-24 11:28:43 -07:00
Matt Guthaus
7432192e5e
Small change to test webhook
2018-09-24 09:11:44 -07:00
Matt Guthaus
922e3f4c13
Small change to test webhook
2018-09-21 15:05:46 -07:00
Matt Guthaus
ade12c9dc2
Small change to test webhook
2018-09-21 15:03:16 -07:00
Matt Guthaus
e1864a7a1e
Small change to test webhook
2018-09-21 15:02:16 -07:00
Matt Guthaus
2b3b4bbee6
Small change to test webhook
2018-09-21 15:01:07 -07:00
Michael Timothy Grimes
934959952b
Corrections to functional test that adds multiple cs_b signals per port
2018-09-21 09:59:44 -07:00
Matt Guthaus
87502374c5
DRC clean supply grid routing on control logic.
2018-09-20 16:00:13 -07:00
Michael Timothy Grimes
2641841e4c
Making correction to replica bitline netlist for multiport
2018-09-20 15:21:22 -07:00
Michael Timothy Grimes
938ded3dd6
Adding functional test to characterizer and unit tests in both single and multiport
2018-09-20 15:04:59 -07:00
Michael Timothy Grimes
fc5f163828
Merge branch 'dev' of https://github.com/VLSIDA/PrivateRAM into multiport
2018-09-18 18:56:15 -07:00
Matt Guthaus
fd9ffe30d6
Add layer width options to route object
...
Modify router to use track-width routes.
2018-09-18 15:12:53 -07:00
Matt Guthaus
8d2804b9cb
Supply router working except:
...
Off grid pins. Some pins do now span enough of the routing track and must be patched.
Route track width. Instead of minimum width route, it should be the track width.
2018-09-18 12:57:39 -07:00
Matt Guthaus
bfc8428df7
Convert router tests to scn4m_subm
2018-09-17 13:30:30 -07:00
Matt Guthaus
60cceab50a
Merge branch 'dev' into supply_routing
2018-09-17 11:34:31 -07:00
Matt Guthaus
a58b1906ad
Convert unit tests to scn4m_subm
...
Also, fixed isdiff for python3.
2018-09-17 11:13:46 -07:00
Michael Timothy Grimes
43f5316eed
Correcting format of replica_pbitcell.
2018-09-13 18:51:52 -07:00
Michael Timothy Grimes
9acc8a9532
Altering multiport checks across several unit tests.
2018-09-13 18:49:20 -07:00
Michael Timothy Grimes
332976dd73
s_en will be shared amongst the sense amps of different ports, so I'm removing the distinct s_en signals from several modules.
2018-09-13 18:46:43 -07:00
Michael Timothy Grimes
5fd484ee5a
Replacing replica_pbitcell module with a more effiecient verision. replica_pbitcell is now a wrapper for pbitcell in replica_bitcell mode.
2018-09-13 16:53:24 -07:00
Matt Guthaus
e591176211
Change default to scn4m
2018-09-13 15:26:03 -07:00
Matt Guthaus
93ae7ebd00
Specify DRC,LVS,PEX tool for scn4m
2018-09-13 15:18:30 -07:00
Matt Guthaus
571dca5d5f
Hard code flatten commands for the unique id precharge array
2018-09-13 15:15:41 -07:00
Matt Guthaus
4d328c5768
Fix hspice setuphold golden results
2018-09-13 14:41:15 -07:00
Matt Guthaus
f4389bdd8f
Add extra track spacings in some routes.
2018-09-13 14:12:24 -07:00
Matt Guthaus
63d0523228
Added scn4m_subm.
...
Added scn4m_subm files (instead of scn4me_subm).
Fixed missing cifoutput/cifinput in magic tech file and gds files.
Fixed incorrect M3/via3/M4 design rules.
2018-09-13 12:53:35 -07:00
Matt Guthaus
3539887ee4
Updating ms_flop removal.
...
Updated characterizer for dff.
Added new setup/hold results for dff instead of ms_flop.
Removed ms_flop references in sram-base.
Fixed syntax errors in SCN3ME tech file.
2018-09-13 11:40:24 -07:00
Matt Guthaus
66cbe0966c
Removed old ms_flop unit test
2018-09-13 11:15:33 -07:00
Matt Guthaus
f8fc7c12b3
Remove ms_flop and replace with dff. Might break setup_hold tests.
2018-09-13 11:02:28 -07:00
Matt Guthaus
849293b95b
Converting grid data structures to sets to reduce size.
2018-09-13 09:10:29 -07:00
Michael Timothy Grimes
e0b9989d85
Adding replica_pbitcell and test for multi-ported purposes. Altering replica bitline and test to accomodate.
2018-09-13 01:42:06 -07:00
Michael Timothy Grimes
f03cd7c3ba
Removing multiport_check option that diabled multiport portion of unit tests. Adding multiport checks to several other modules.
2018-09-12 20:22:12 -07:00
Michael Timothy Grimes
42719b8ec2
Fixing netlist_only errors. Removing netlist_only option from ptx because it must always generate layout for pbitcell. gds_write, drc check, and lvs check in local_check() are now in a 'if not OPTS.netlist_only' conditional. These functions will generate errors in netlist_only mode since there is no gds layout to write or check.
2018-09-12 01:53:41 -07:00
Michael Timothy Grimes
7dfd37f79c
Altering control logic for multiport. Netlist changes only.
2018-09-12 00:59:07 -07:00
Michael Timothy Grimes
bfc855b8b1
Merge branch 'dev' of https://github.com/VLSIDA/PrivateRAM into multiport
2018-09-11 17:33:17 -07:00
Hunter Nichols
ac3cc5c79b
Merge branch 'dev' into multiport_characterization
2018-09-11 16:01:51 -07:00
Matt Guthaus
a3c2b4384a
Improve comments. Simplify function interface for channel route.
2018-09-11 15:53:12 -07:00
Hunter Nichols
676b6764c7
Merge branch 'dev' into multiport_characterization
2018-09-11 15:40:17 -07:00
Matt Guthaus
3587f90e94
Fix copy pasta error in create vertical channel route
2018-09-11 14:47:55 -07:00
Matt Guthaus
5e34233479
Finish new VCG testing.
...
Reversed VCG graph edge directions.
Channel tracks get added left to right or top down like
normal left edge algorithm examples.
2018-09-11 14:24:13 -07:00
Matt Guthaus
fcc4a75295
Create VCG using nets as nodes rather than pins.
2018-09-11 13:28:28 -07:00
Matt Guthaus
add0e3ad68
Add none option for verify wrapper with warning messages.
2018-09-11 10:17:24 -07:00
Hunter Nichols
91bbc556e8
Cleaned up control logic cycle creation in delay.py. Fixed bug which caused input data to be determined by the read ports.
2018-09-10 22:06:50 -07:00
Hunter Nichols
da6843af5b
Changed power logic in lib file writing. Syntax incorrect still for multiport. To be changed when top-level is done.
2018-09-10 19:33:59 -07:00
Hunter Nichols
5dfa8bc2c6
Fixed known typos of the word transition.
2018-09-10 14:27:26 -07:00
Michael Timothy Grimes
38a1f35ff0
Correcting format of file (removing tabs)
2018-09-10 03:44:08 -07:00
Michael Timothy Grimes
a7f03858e8
Adding 'multiport_check' option to OPTS. All of the unit tests that have multiport checks in them are now under this conditional. If you want to remove the multiport drc/lvs checks, you can set the option to False, and it will skip those portions.
2018-09-09 23:25:29 -07:00
Michael Timothy Grimes
5af56e5a3a
Adding layout check for sram (1 bank) using pbitcell and 1RW port
2018-09-09 22:45:25 -07:00
Michael Timothy Grimes
0cdd3b99bf
Generalized wl names using bitcell's list_all_wl_names function to accomodate multiport
2018-09-09 22:42:52 -07:00
Michael Timothy Grimes
586c72e4f7
Altering certain tests to include multiport checks.
2018-09-09 22:08:03 -07:00
Michael Timothy Grimes
27427d4192
Bank level layout now works with pbitcell and 1RW. Column mux and array have been altered to accomodate multiport. Multiport changes to wordline driver were removed because they were unnecessary.
2018-09-09 22:06:29 -07:00
Michael Timothy Grimes
252ae1effa
add trailing 0 to web
2018-09-09 15:16:53 -07:00
Michael Timothy Grimes
68c00d7467
Removing din and dout list names in exchange for a read index. Write ports will always be in order (they will not skip numbers. Read ports however will skip the numbers assigned to wirte ports so the index of the read ports must be tracked.
2018-09-09 14:14:26 -07:00
Michael Timothy Grimes
1429b9ab1a
Commiting working version of multi-port that can generate a netlist on the sram level. Changes that will clean up the code are forthcoming.
2018-09-09 14:00:51 -07:00
Michael Timothy Grimes
c91735b23b
Merge branch 'dev' of https://github.com/VLSIDA/PrivateRAM into multiport
2018-09-08 18:56:58 -07:00
Matt Guthaus
2d86492d91
Working on methodology of blockages, pins, and routing multiple pins.
2018-09-08 18:55:36 -07:00
Matt Guthaus
96c51f3464
Component shape functions. Find connected pins through overlaps.
2018-09-08 10:05:48 -07:00
Hunter Nichols
5cab786e21
Cleaned up analyze and some of its helper functions to be less cluttered.
2018-09-07 17:50:09 -07:00
Matt Guthaus
69261a0dc1
Routing and connecting rails with vias done.
...
Refactored grid path class.
Added direction enum.
Does not route multi-track width wires in signal router.
2018-09-07 14:46:58 -07:00
Hunter Nichols
83f6434476
Gave find_feasible_period a port input.
2018-09-07 00:53:11 -07:00
Hunter Nichols
8aaf1155d1
Fixed test 23_lib_sram_test. Fixed syntax in related golden lib files.
2018-09-06 22:51:34 -07:00
Hunter Nichols
0ff3b29b66
Fixed test 23_sram_prune test. Fixed syntax errors in golden lib files.
2018-09-06 22:06:23 -07:00
Michael Timothy Grimes
1a340c9c85
Merging latest changes from multiport with changes made to pbitcell. Changing select code from other modules and tests to reflect changes made to pbitcell.
2018-09-06 19:36:50 -07:00
Hunter Nichols
bf34911f3f
Test 21_ngspice now passing for scmos and freepdk45. 21_hspice has leakage power error (but it may be okay)
2018-09-06 18:40:21 -07:00
Hunter Nichols
1615de05e4
Fixed leakage power issue in test 21_hspice. Still requires more testing.
2018-09-06 18:26:08 -07:00
Michael Timothy Grimes
66a8a76fb0
Commiting changes to pbitcell that separate the routing into individual functions and rename. The bitlines and wordlines are also renamed.
2018-09-06 17:59:21 -07:00
Hunter Nichols
a2bc82fe71
Fixed test 21_hspice. Leakage power is off.
2018-09-06 17:34:22 -07:00
Hunter Nichols
dd22f9acd5
Fixed issues with analytical sram test. Changed syntax errors in golden lib file.
2018-09-06 17:01:10 -07:00
Matt Guthaus
c2c17a33d2
Horizontal and vertical grid wires done.
2018-09-06 14:30:59 -07:00
Matt Guthaus
cd987479b8
Updates to supply routing.
...
Rename astar_grid to signal_grid to parallel supply routing.
Wave expansion for supply rails.
Pin addition for supply rails.
2018-09-06 11:54:14 -07:00
Hunter Nichols
f824d039c6
Merge branch 'dev' into multiport_characterization
2018-09-06 00:25:11 -07:00
Hunter Nichols
66c4782408
Fixed several syntax error regarding some multiport naming. Currently in debug mode.
2018-09-06 00:25:02 -07:00
Hunter Nichols
ad235c02c6
Added debug code which skips characterization and goes straight to writing the lib. Fixed some syntax issues in the lib file.
2018-09-05 23:27:13 -07:00
Matt Guthaus
59956f1446
Update signal routing for new blockage and pins.
2018-09-05 16:01:11 -07:00
Matt Guthaus
7ead566154
Remove cell rename during DRC. Keep flatten.
2018-09-05 16:00:48 -07:00
Matt Guthaus
b1c63a6c62
Add inflate blockages and remove pins from blockages.
2018-09-05 11:06:17 -07:00
Matt Guthaus
93b24d8c85
Merge remote-tracking branch 'origin/dev' into supply_routing
2018-09-05 11:05:41 -07:00
Matt Guthaus
ba651d53ae
Change options in pbitcell test to be global again.
2018-09-05 10:59:41 -07:00
Matt Guthaus
2a27fbc98e
Fix temp directory preservation option.
...
Make labels in freepdk45 replica bitcell lower case.
2018-09-05 10:02:12 -07:00
Matt Guthaus
0f87ba742f
Add back LEF blockages. Remove "absolute" flags from GDS output
2018-09-05 09:28:43 -07:00
Matt Guthaus
8ffdcdf277
Fixed bit shift amount error. Removed rotate flag for Calibre.
2018-09-04 17:27:50 -07:00
Matt Guthaus
5395f21be9
Remove unique id in contact that was used for debugging
2018-09-04 16:40:52 -07:00
Matt Guthaus
9d40cd4a03
Remove verbose print statement in add_power_pin
2018-09-04 16:39:13 -07:00
Matt Guthaus
378993ca22
Found rotate bug in transformCoordinate. Cleaned up transFlags.
2018-09-04 16:35:40 -07:00
Matt Guthaus
763f1e8dee
Finish renaming replica bitcell and bitline pin names.
2018-09-04 14:03:15 -07:00
Matt Guthaus
6963a1092f
Make bitcell width/height not static. Update modules to use it for pbitcell.
2018-09-04 11:55:22 -07:00
Matt Guthaus
0adfe66429
Add total_ port variables to sram base class.
2018-09-04 11:15:18 -07:00
Matt Guthaus
de6f22aa3c
Fix unit test permissions
2018-09-04 10:48:37 -07:00
Matt Guthaus
19c0e1638b
Merge remote-tracking branch 'origin/multiport' into multiport
2018-09-04 10:47:55 -07:00
Matt Guthaus
a346bddd88
Cleanup some items with new sram_config. Update unit tests accordingly.
2018-09-04 10:47:24 -07:00
Hunter Nichols
3bde83bdbe
Added initial structure changes to lib. Crashes when writing to lib file.
2018-09-04 00:43:44 -07:00
Michael Timothy Grimes
af0756382f
Merging changes and updating multiport syntax across several tests
2018-09-03 19:36:20 -07:00
Michael Timothy Grimes
774c14ad75
changing 19_psingle_bank_test to test layout for a single bank using pbitcell with 1 RW port (equivalent to using 6T cell)
2018-09-03 17:47:29 -07:00
Michael Timothy Grimes
341a3ee68d
Adding multiport pin names to sram_base for netlist only use
2018-09-03 17:44:32 -07:00
Michael Timothy Grimes
1e5924d1b7
Adding multiported bank_sel pins
2018-09-03 17:35:00 -07:00
Michael Timothy Grimes
d3441c7ba4
Altering wordline driver to size for any bitcell. Editting multi-port test cases for sense amp array, write driver array, and wordline driver to least number of ports as a better test of spacing betwwen amps/drivers
2018-09-03 17:31:12 -07:00
Hunter Nichols
1af5bb3758
Remove code bloat and simplified port logic in some cases. Crashes while writing to lib.
2018-09-01 00:10:40 -07:00
Michael Timothy Grimes
f3cca7eea0
Altering sense amp array and write driver array so spacing between amps/drivers accomodates multiport. Also altering sense amp array and write driver array tests to include multiport cases.
2018-08-31 23:28:06 -07:00
Matt Guthaus
9d8d2b65e4
Fix delay test with new sram_config. Merge dev changes.
2018-08-31 13:01:17 -07:00
Matt Guthaus
c3bd54696f
Merge branch 'dev' into multiport
2018-08-31 12:56:25 -07:00
Matt Guthaus
563ff77d44
Add sram_config class. Rename port variables for better description.
2018-08-31 12:03:28 -07:00
Michael Timothy Grimes
75d77095d0
merging changes to magic.py
2018-08-31 09:01:15 -07:00
Hunter Nichols
4022f014b2
Merge branch 'dev' into multiport_characterization
2018-08-31 00:43:33 -07:00
Hunter Nichols
60088c2dfb
Added changes to lib to allow the default to run. Will crash with multiport options.
2018-08-31 00:42:56 -07:00
Hunter Nichols
6614c3eb51
Altered min_period algorithm to work for multiport. Works for default config but mostly untested for multiport options.
2018-08-30 22:43:56 -07:00
Hunter Nichols
5989a3c952
Expanded run_delay_stimulas to multiport. Bug Fixes as well.
2018-08-30 17:08:34 -07:00
Hunter Nichols
907b7310ee
Actually changed the noops default data in this commit.
2018-08-30 15:16:54 -07:00
Hunter Nichols
53fa6108e1
Changed most noops calls to have default input of all 0's. Changed parse_values to return dict even if some values fail.
2018-08-30 15:11:54 -07:00
Matt Guthaus
3ab0b569cb
Use a .magicrc in the technology directory to read magic tech files
2018-08-30 14:20:41 -07:00
Michael Timothy Grimes
35ae4a275e
Merge branch 'dev' of https://github.com/VLSIDA/PrivateRAM into multiport
2018-08-30 12:42:24 -07:00
Hunter Nichols
73388e9797
Merge branch 'dev' into multiport_characterization
2018-08-30 01:20:23 -07:00
Hunter Nichols
e32c1fdd23
Changed part (4) of analyze to use the updated measure names.
2018-08-30 01:18:34 -07:00
Hunter Nichols
78be724867
Edited find_feasible period to use dynamic naming on its measured values and edited the algorithm to work with multiport.
2018-08-30 00:11:14 -07:00
Hunter Nichols
02cf51d3be
Added generic parsing function to capture multiple values. This commit does not run and it messes up some naming conventions
2018-08-29 22:16:42 -07:00
Matt Guthaus
762f2d894c
Revert all transFlags in GdsMill
2018-08-29 17:23:04 -07:00
Matt Guthaus
93a6247f26
Unrotate vias in delay chain
2018-08-29 17:21:53 -07:00
Hunter Nichols
4b515fe1ac
Changed create_test_cycles to have targeted ports for characterization rather than all ports always.
2018-08-29 17:16:11 -07:00
Michael Timothy Grimes
e118cc2d5c
Merge branch 'dev' of https://github.com/VLSIDA/PrivateRAM into multiport
2018-08-29 16:06:50 -07:00
Michael Timothy Grimes
aeaab13d28
Unit tests for pbitcell now passing, so commenting out skip line. Also gave pbitcell_array useful names in unit test for easier debugging
2018-08-29 16:05:13 -07:00
Matt Guthaus
5a065cf701
Remove setting of rotate transflag. Not supported in Calibre?
2018-08-29 16:04:15 -07:00
Michael Timothy Grimes
7ef7c084cd
fixed typo that added two '/' characters to path sys command (i.e. from tech//SCN3ME_SUBM.30 to tech/SCN3ME_SUBM.30)
2018-08-29 16:01:25 -07:00
Michael Timothy Grimes
29da8a5209
Further changes to pbitcell so that it passes unit tests for bitcell_array
2018-08-29 15:54:49 -07:00
Matt Guthaus
334aa53cee
Merge branch 'supply_routing' of https://github.com/VLSIDA/PrivateRAM into supply_routing
2018-08-29 15:40:04 -07:00
Matt Guthaus
73289a6090
Clean up GdsMill. Fix rotate bug I introduced in transFlags!
2018-08-29 15:34:45 -07:00
Matt Guthaus
0ce2dd2791
Add supply_grid file
2018-08-29 15:34:45 -07:00
Matt Guthaus
27bb1d2ee7
Rewrite blockage routines in router. Clean up GdsMill code.
2018-08-29 15:34:45 -07:00
Matt Guthaus
04b7c419f1
Rename _new cell back to original for LVS comparison script
2018-08-29 15:34:45 -07:00
Matt Guthaus
5386b7a0f4
Initial refactor of signal and supply router classes.
2018-08-29 15:34:45 -07:00
Matt Guthaus
19d14e39ce
Remove extraneous files
2018-08-29 15:34:45 -07:00
Matt Guthaus
6220ea6d47
Update router to work with pin_layout structure.
2018-08-29 15:34:45 -07:00
Matt Guthaus
41fba9d27c
Add sketch for power grid routing code
2018-08-29 15:34:16 -07:00
Michael Timothy Grimes
807a4d7767
Fixed drcs error in magic. Pbitcell should now pass unit tests in calibre and magic.
2018-08-29 15:30:50 -07:00
Hunter Nichols
775fe7b57c
Fixed measure statement stating times. This commit crashes if there are no readwrite ports.
2018-08-29 15:13:31 -07:00
Michael Timothy Grimes
1d5a41df2d
fixed issue with read ports that caused extra transistors to appear
2018-08-29 08:52:45 -07:00
Hunter Nichols
8a0411279e
Merge branch 'dev' into multiport_characterization
2018-08-29 01:27:37 -07:00
Hunter Nichols
8fad81ff1e
Changed delay measures to add additional measure based on # of ports. Measure times are not correct yet.
2018-08-29 00:43:27 -07:00
Hunter Nichols
ffe59bdf51
Edited delay measures to handle multiple readwrite ports. This commit is not well tested.
2018-08-29 00:01:22 -07:00
Matt Guthaus
e804f36bec
Add parameters to give preference to DRC/LVS/PEX tools like we do for spice.
2018-08-28 13:41:26 -07:00
Hunter Nichols
fa8434e5f0
Added debug checks for unsupported port options.
2018-08-28 13:01:35 -07:00
Hunter Nichols
bd763fa1e3
Fixed naming issue between sram instance and PWL in stimulus
2018-08-28 12:09:02 -07:00
Matt Guthaus
309bfaea2a
Update comments in magic to download the correct version of design rules
2018-08-28 11:48:23 -07:00
Matt Guthaus
8752d799b4
Skip pbitcell tests for now
2018-08-28 10:45:50 -07:00
Matt Guthaus
95a8688506
Rewrite blockage routines in router. Clean up GdsMill code.
2018-08-28 10:43:45 -07:00
Matt Guthaus
0dbc88dab2
Rename _new cell back to original for LVS comparison script
2018-08-28 10:43:44 -07:00
Matt Guthaus
82833ef8f0
Initial refactor of signal and supply router classes.
2018-08-28 10:43:44 -07:00
Matt Guthaus
8f1e2675fe
Remove extraneous files
2018-08-28 10:43:44 -07:00
Matt Guthaus
2ae1e0234d
Update router to work with pin_layout structure.
2018-08-28 10:43:44 -07:00
Matt Guthaus
ea52af3747
Add sketch for power grid routing code
2018-08-28 10:43:44 -07:00
Matt Guthaus
ac8a16ebdf
Fix permissions for unit tests to be run standalone.
2018-08-28 10:31:58 -07:00
Matt Guthaus
e17c69be3e
Clean up new code for add_modules, add_pins and netlist/layouts.
2018-08-28 10:24:09 -07:00
Hunter Nichols
0bb4b48439
Merge branch 'dev' into multiport_characterization
2018-08-28 00:37:26 -07:00
Hunter Nichols
75da5a994b
Edited create_test_cycles to generate values that characterize all ports. Still several bugs and lib file does not support multiple ports.
2018-08-28 00:30:15 -07:00
Hunter Nichols
ba5988ec7f
Added write port structure to create_test_cycles. This commit contains test code.
2018-08-27 20:35:29 -07:00
Hunter Nichols
d82d3df4a7
Added read port cycle data generation. This commit contains test code in create_test_cycles
2018-08-27 18:17:02 -07:00
Matt Guthaus
6401cbf2a6
Move place function to instance class rather than hierarchy.
2018-08-27 17:25:39 -07:00
Matt Guthaus
8664f7a0b8
Converted all modules to not run create_layout when netlist_only
...
mode is enabled.
2018-08-27 16:42:48 -07:00
Hunter Nichols
a0e06809f9
Comments now display port in stim file.
2018-08-27 16:23:23 -07:00
Hunter Nichols
350823d434
Added basic structure to add_test_cycles to characterize multiple ports and its helper functions to allow for ports to be selected for characterization
2018-08-27 15:56:42 -07:00
Matt Guthaus
9f051df18d
Added netlist only configuration option.
2018-08-27 14:33:02 -07:00
Matt Guthaus
19d46f5954
Finalized separation of netlist/layout creation.
2018-08-27 14:18:32 -07:00
Matt Guthaus
0daad338e4
All modules have split netlist/layout.
2018-08-27 11:13:34 -07:00
Matt Guthaus
87f539f3a8
Separate netlist/layout for flop and precharge array.
2018-08-27 10:54:21 -07:00
Matt Guthaus
138a70fc23
Add place_inst routine.
...
Separate create netlist and layout in some modules.
2018-08-27 10:42:40 -07:00
Michael Timothy Grimes
8c73a26daa
Changing function names in bitcell and pbitcell to better reflect what values they're returning. Editting function calls in bitcell_array and bank accordingly.
2018-08-26 14:37:17 -07:00
Hunter Nichols
6dc72f5b1e
Added additional control signal to stim file based on # of ports.
2018-08-23 17:46:24 -07:00
Hunter Nichols
efcb435fde
Changed # of address signals to reflect # of ports in delay
2018-08-23 14:49:56 -07:00
Hunter Nichols
9151858449
Characterizer now recognizesmultiple ports and additional DIN/DOUT signals are added to stim file.
2018-08-22 23:45:43 -07:00
Hunter Nichols
21e85297d3
Merge branch 'dev' into multiport_characterization
2018-08-22 14:50:29 -07:00
Hunter Nichols
8abf45a5d3
Some test code added. To be removed later.
2018-08-22 14:19:09 -07:00
Michael Timothy Grimes
b8ae21a52b
made multi-port changes to sram. This commit will allow all levels of openram to pass unit tests
2018-08-20 22:11:24 -07:00
Michael Timothy Grimes
f0cca8293c
Merge branch 'dev' of https://github.com/VLSIDA/PrivateRAM into multiport
2018-08-19 00:01:52 -07:00
Michael Timothy Grimes
8e3dc332f3
changed control signal names in bank select to accommodate multi-port changes in bank
2018-08-19 00:00:42 -07:00
Michael Timothy Grimes
19ca0d6c2a
Changing control logic names to match naming scheme for multi-port. din[0] to din0[0], s_en to s_en0, addr[0] to addr0[0], etc. Sram level should pass unit tests for single port but will not currently pass for multi-port
2018-08-18 16:51:21 -07:00
Michael Timothy Grimes
0f8da1510e
Reverting pin name changes of precharge cell and array back to 'bl' and 'br'. Also clarifying bl and br init parameters to reflect that they refer to the bitcell lines.
2018-08-18 15:27:07 -07:00
Matt Guthaus
e3f2ee8a7e
Fix VCG error in channel route.
...
Note, the channel routing algorithm still does not handle
horizontal conflicts or cyclic vertical conflicts!
2018-08-15 14:19:04 -07:00
Matt Guthaus
6e332e581a
Updated to include local magic rules
2018-08-15 09:46:23 -07:00
Michael Timothy Grimes
e147f807a5
adding a unit test for multiported bank, this test will skip in the regression testing because multiported bank does not pass drc yet
2018-08-15 04:32:56 -07:00
Michael Timothy Grimes
e4a94e8597
Merging changes to bank. Bank has been altered to accommodate multiport. Single port still passes unit test, though some control signal names have been changed to have a following 0 (e.g. s_en to s_en0). Multiport does not pass drc yet, but can accurately generate a spice netlist.
2018-08-15 04:00:48 -07:00
Michael Timothy Grimes
e592d95146
Altered bank to accommodate multiport. Single port still works, though some of the control signal names have been changes to have a following 0 (e.g. s_en to s_en0). Multiport does not pass drc yet, but can generate an accurate spice netlist.
2018-08-15 03:36:40 -07:00
Michael Timothy Grimes
a5af4a2b9c
resolved variable name error in 00_code_format test
2018-08-15 03:33:33 -07:00
Michael Timothy Grimes
af43fb6276
called bitcell function before reading the height of the bitcell because pbitcell's dynamic height can only be determined after the module is called
2018-08-15 02:19:36 -07:00
Michael Timothy Grimes
040340b49f
editted naming convention on precharge to accommodate multiport
2018-08-15 02:14:45 -07:00
Michael Timothy Grimes
8d97862f6e
altered precharge array and precharge unit tests to accommodate multiport
2018-08-15 00:55:23 -07:00
Matt Guthaus
36bfd2932a
Update delay results with new clock routing
2018-08-14 10:51:02 -07:00
Matt Guthaus
8900edbe12
Finalize single bank clock routing.
2018-08-14 10:36:35 -07:00
Matt Guthaus
3420b1002c
Connect data and column DFF clocks in 1 bank.
2018-08-14 10:09:41 -07:00
Matt Guthaus
5ff49d322d
bank_sel_bar only used for clk now
2018-08-13 15:14:52 -07:00
Matt Guthaus
f7f318d72e
Remove tri_en signals from bank control logic.
2018-08-13 14:47:03 -07:00
Matt Guthaus
49bee6a96e
Remove OEB signal since we split DIN/DOUT ports
2018-08-13 14:09:49 -07:00
Matt Guthaus
9ffba4b052
Add +x permissions on precharge and pbitcell tests
2018-08-13 09:57:10 -07:00
Matt Guthaus
34736b7b3f
Remove carriage returns form python files
2018-08-07 09:44:01 -07:00
Matt Guthaus
abacf6a2d0
Add carriage return check for python files
2018-08-07 09:40:45 -07:00
Michael Timothy Grimes
c2a9e91dba
Merge branch 'dev' of https://github.com/VLSIDA/PrivateRAM into multiport
2018-08-05 19:53:28 -07:00
Michael Timothy Grimes
5666ee6635
altered precharge module to accomodate bitlines from pbitcell, and altered unit test to test both bitcell and pbitcell configurations
2018-08-05 19:46:05 -07:00
Michael Timothy Grimes
ecd4612167
altered bitcell, pbitcell, and bitcell array modules to accomodate additional bitline reference functions
2018-08-05 19:43:59 -07:00
Matt Guthaus
c0d5f781cf
Not sure how VCG channel constraint got removed. Fixed this bug before...
2018-07-27 15:15:40 -07:00
Matt Guthaus
a7a3099702
Fix comments in stimulus file to show list and not zip type
2018-07-27 15:00:00 -07:00
Matt Guthaus
d739c17b8d
Fix delay numbers in hspice delay unit test.
2018-07-27 14:43:52 -07:00
Matt Guthaus
d75d17bc8a
Update golden results for FreePDK45 tests.
2018-07-27 14:25:52 -07:00
Matt Guthaus
642a5cfe73
Line-wrap pinv debug formatting
2018-07-27 14:07:55 -07:00
Matt Guthaus
71606e1097
Add read cycle to clear DOUT bus before each read measure.
2018-07-27 14:06:59 -07:00
Matt Guthaus
8f72621f4a
Converted delay measurement to use add_read/add_write functions.
...
Rewrote the logic to add one cycle at a time for easier
manipulation. This can be extended more easily into the
functional simulations.
2018-07-27 11:36:17 -07:00
Matt Guthaus
5b2cb6a95e
Update remaining SCMOS golden lib files.
2018-07-27 09:44:12 -07:00
Matt Guthaus
6b967c08dd
Updated output messages in timing test comparisons.
...
Added output to show which lines differ and what their line numbers are..
Added output to show relative difference of approximate compares.
Added output to include file names that mismatch.
2018-07-27 09:34:44 -07:00
Matt Guthaus
01cbc71a2a
Limit sizes for dff_buf too. Add comments about restriction.
2018-07-27 08:17:50 -07:00
Matt Guthaus
b541efe959
Fix wide gnd rail spacing to inverter NMOS by adding size limit to pinv.
2018-07-27 07:23:18 -07:00
Matt Guthaus
0e0516c4a6
Fix delay test unit test results.
2018-07-26 16:45:09 -07:00
Matt Guthaus
85595b0f6f
Update format of delay test output during an error to directly
...
copy into unit test. Factor function into testutils.py for comparison.
2018-07-26 16:05:24 -07:00
Matt Guthaus
5088487cf7
Update delay tests to output useful information for debug.
2018-07-26 15:45:17 -07:00
Matt Guthaus
a00e160274
Convert bitline index to integer in trim_spice
2018-07-26 14:29:44 -07:00
Matt Guthaus
f098b995f0
Fix pinvbuf test to use new interface with only driver size.
2018-07-26 14:20:00 -07:00
Matt Guthaus
c8808c268a
Close output log in test 30 to avoid warning
2018-07-26 14:01:40 -07:00
Matt Guthaus
bc67ad5ead
Fixed timing to be measured from positive clock edge since
...
reading a 1 will be the precharge time.
Started modifying the lib file for DIN and DOUT ports, but did not
check the syntax yet.
2018-07-26 13:58:50 -07:00
Matt Guthaus
e827c1b8c7
Make pinvbuf have unique names for GDS compliance.
...
Add back gating of w_en since write should happen in second half
or else we will have write and precharge simultaneously active.
2018-07-26 11:40:40 -07:00
Matt Guthaus
00a87d57ab
Modified pinvbuf to have a stage effort of 4 for driving the
...
clock bar to wordline enable.
Fixed comments in stimulus file to have right cycle numbers.
Removed clock gating on we signal since clock gating is already
done on the WL signals. It is redundant.
2018-07-26 11:28:48 -07:00
Michael Timothy Grimes
fb0de710ec
Merge branch 'dev' of https://github.com/VLSIDA/PrivateRAM into multiport
2018-07-26 09:04:59 -07:00
Michael Timothy Grimes
27ab411146
fixed error I missed in pbitcell_array test
2018-07-26 09:02:52 -07:00
Matt Guthaus
dd7069dd98
Remove print statement
2018-07-25 15:51:48 -07:00
Matt Guthaus
b7525a14c2
Change DIN to DOUT in characterizer. Spacing dff flops down by m2 not m1 pitch.
2018-07-25 15:50:49 -07:00
Matt Guthaus
d6df215718
Always use m2_pitch as default for channel for via spacing rules
2018-07-25 15:47:11 -07:00
Matt Guthaus
6d71c3f790
Fix bug to remove pin from conflicts in addition to graph keys
2018-07-25 15:36:16 -07:00
Matt Guthaus
a4bfbe3545
Move dff_array pins to center of rail
2018-07-25 15:08:04 -07:00
Matt Guthaus
44f0e4a1de
Fix new offset coordinate syntax error
2018-07-25 13:47:36 -07:00
Matt Guthaus
64b3cfee26
Only print LVS/DRC stats when it is enabled
2018-07-25 13:44:34 -07:00
Matt Guthaus
7c254d540d
Change channel route api to use pin maps instead of an insteads for cases where there are multiple instances that have the pins (e.g. decoders)
2018-07-25 11:37:06 -07:00
Matt Guthaus
f7a2766c29
First draft of naive channel route in hierarchy_layout. It doesn't implement horizontal conflicts or try to minimize the number of channels.
2018-07-25 11:13:30 -07:00
Matt Guthaus
48d3b25b74
Rotate the output pins of the control logic. Need to fix this permanently.
2018-07-24 14:26:01 -07:00
Matt Guthaus
16a084fde1
Add vdd/gnd at right end of rails. Rename some signals for clarity.
2018-07-24 14:15:11 -07:00
Matt Guthaus
aa2ea26db3
Convert control module to use hierarchy bus API
2018-07-24 10:35:07 -07:00
Matt Guthaus
b50f57ea3a
Remove control logic supply rails and replace with M3 supply pins
2018-07-24 10:12:54 -07:00
Matt Guthaus
45a53ed089
Rotate via in center for freepdk
2018-07-19 14:01:48 -07:00
Matt Guthaus
4c3bd0e42b
Move WL gnd contacts outside the cell for simplicity
2018-07-19 13:38:45 -07:00
Matt Guthaus
beee8229d1
Revert change. Add gnd pin to right on bitline load.
2018-07-19 13:26:12 -07:00
Matt Guthaus
ea53066966
Align RBL inverter with first load inverter in delay chain to aid supply connections
2018-07-19 11:02:13 -07:00
Matt Guthaus
311ab97bfc
Fix s_en stages to be even per Kevin's bug report. Assert minimum fanout to ensure vdd/gnd connections.
2018-07-19 10:51:20 -07:00
Matt Guthaus
128dfd5830
Add internal vdd/gnd connections for delay chain
2018-07-19 10:37:47 -07:00
Matt Guthaus
51958814a0
Fixing power via problems in freepdk45
2018-07-19 10:23:08 -07:00
Matt Guthaus
9983408fa3
Add verilog_write to sram wrapper for verilog unit test
2018-07-19 10:05:30 -07:00
Matt Guthaus
3f57853969
Use lower case names except for leaf cells and top level
2018-07-18 15:10:57 -07:00
Matt Guthaus
4a139b682d
Add temporary options to LVS to allow name merging
2018-07-18 15:10:29 -07:00
Matt Guthaus
a9c0ec5549
Add LVS correspondence points to each bank type
2018-07-18 14:29:04 -07:00
Matt Guthaus
a878ce5500
Standardize DRC and LVS message levels
2018-07-18 14:28:43 -07:00
Matt Guthaus
58896a6f8e
Fix control signal names on control_logic input
2018-07-18 13:41:44 -07:00
Matt Guthaus
b88947ef5c
Pass the sram design to lib instead of the sram wrapper
2018-07-18 11:51:42 -07:00
Matt Guthaus
f43d4cc98f
Fix routing clk connections of dff arrays
2018-07-18 11:38:58 -07:00
Matt Guthaus
0701fceb0b
Use sram rather than new meta-sram class in the characterizer for delay
2018-07-18 10:39:29 -07:00
Matt Guthaus
1130062343
Fix syntax error in delay test to use new sram wrapper module
2018-07-18 10:33:18 -07:00
Matt Guthaus
b8a3bc9b1a
Space hier decoder input connections along rails to avoid conflicts
2018-07-18 10:21:58 -07:00
Matt Guthaus
b8e3629923
Fix syntax error in unit test
2018-07-17 15:14:22 -07:00
Matt Guthaus
01655b1d54
Clean up tests. Enable 8-way tests. Some tests still have channel route conflicts.
2018-07-17 15:13:00 -07:00
Matt Guthaus
ef60b02a81
Add vdd/gnd pins to dff_array
2018-07-17 15:01:31 -07:00
Matt Guthaus
6133d54684
Fix spacing between adjacent decoders
2018-07-17 15:01:16 -07:00
Matt Guthaus
ffc866ef78
Single bank working except for channel routing error in 4-way case.
2018-07-17 14:40:04 -07:00
Matt Guthaus
7a69fc1bca
Add col addr routing and data routing
2018-07-17 14:24:44 -07:00
Matt Guthaus
0665d51249
Must connect clock at top level for now
2018-07-17 14:24:07 -07:00
Matt Guthaus
e82f97cce1
Add create_bus and connect_bus api
2018-07-17 14:23:29 -07:00
Matt Guthaus
0175c88a16
Convert predecodes to use create_bus api
2018-07-17 14:23:06 -07:00
Matt Guthaus
ac22b1145f
Convert bank to use create_bus routines.
...
Modify control logic to have correct offset in SRAM.
2018-07-16 14:13:41 -07:00
Matt Guthaus
77e786ae5e
Fix bug in recomputing boundary with a new offset
2018-07-16 13:46:12 -07:00
Matt Guthaus
afcc3563ae
Add new supplies to RBL and control logic
2018-07-16 12:58:15 -07:00
Matt Guthaus
93e830e800
Add new supplies to replica bitline
2018-07-16 10:49:43 -07:00
Matt Guthaus
3bbb604504
Add new power supplies to delay chain
2018-07-16 10:19:52 -07:00
Matt Guthaus
f3ae29fe0b
Getting single bank to work reliably. Removed tri_gate from bank
...
for now. Will add it in multibank arrays only. Not needed for
separate DIN and DOUT ports.
2018-07-13 14:45:46 -07:00
Matt Guthaus
834fbac8de
Remove extra print statements.
...
Add wrappers for file generation in sram wrapper class.
2018-07-13 09:38:43 -07:00
Matt Guthaus
0c23efe49b
Reference local sram instance in sram.py.
2018-07-13 09:30:14 -07:00
Michael Timothy Grimes
2388ddbfb0
deleting code added in error to pbitcell_array_test during previous commit
2018-07-12 23:55:54 -07:00
Michael Timothy Grimes
ba43b986ae
merging changes with pbitcell_array test
2018-07-12 23:51:44 -07:00
Michael Timothy Grimes
a64ca423c6
changing pbitcell_array test to include an important permutation of the design
2018-07-12 23:45:47 -07:00
Michael Timothy Grimes
7b315a3b69
updating inverter to write transistor spacings
2018-07-12 20:52:05 -07:00
Matt Guthaus
a4c29ea527
Improve openram output. Fix save output function name.
2018-07-12 10:35:38 -07:00
Matt Guthaus
e6b1fcb44c
Refactor banks to use inheritance with a top-level SRAM wrapper class.
2018-07-12 10:30:45 -07:00
Matt Guthaus
c71ea51e2e
Merge branch 'multiport_cleanup' of github.com:VLSIDA/PrivateRAM into multiport_cleanup
2018-07-11 14:27:41 -07:00
Matt Guthaus
22d40364ec
Merge branch 'multiport_cleanup' of https://github.com/VLSIDA/PrivateRAM into multiport_cleanup
2018-07-11 14:27:06 -07:00
Matt Guthaus
a2d8d16c7a
Split DATA into DIN and DOUT in characterizer
2018-07-11 14:19:09 -07:00
Matt Guthaus
33bb98894f
Disable LEF test until supplies fixed.
2018-07-11 14:18:53 -07:00
Matt Guthaus
8be88d14a7
Disable banner output during gitlab runner
2018-07-11 14:18:36 -07:00
Matt Guthaus
7d8352a04d
Fix order of checkpointing so that it is done after characterizer and verify have found their executables.
2018-07-11 12:12:03 -07:00
Matt Guthaus
8a530da2cc
Remove extra conversion to list
2018-07-11 12:07:37 -07:00
Matt Guthaus
265b5d977a
Fix option reload problems and checkpointing so that it works properly.
2018-07-11 12:00:15 -07:00
Matt Guthaus
58646ab8e6
Add DRC/LVS/PEX statistics in verbose=1 mode
2018-07-11 11:59:24 -07:00
Matt Guthaus
f894ef47af
Fix missing list conversion to run drc library tests.
2018-07-11 11:58:22 -07:00
Matt Guthaus
b3732f4fcf
Output debug warnings and errors to stderr. Clean up regress script a bit.
2018-07-11 09:51:28 -07:00
Matt Guthaus
f82591dd6f
Remove outdated README
2018-07-11 09:12:20 -07:00
Matt Guthaus
c6503dd771
Modify unit tests to reset options during init_openram so
...
that they don't use old parameters after a failure.
2018-07-10 16:39:32 -07:00
Matt Guthaus
d95a1925d4
Refactor banked SRAM into multiple files and dynamically load in SRAM
2018-07-10 14:17:09 -07:00
Matt Guthaus
19c53cd50c
Do not fail assertion in exception code.
2018-07-10 14:16:18 -07:00
Matt Guthaus
707f303eb7
Fix syntax error in sram.py
2018-07-10 10:34:54 -07:00
Matt Guthaus
f5855ee68a
Fix analytical power of contact with new hierarchy_design level introduced.
2018-07-10 10:17:23 -07:00
Matt Guthaus
25cf57ede5
Push create bus functions down into layout class.
2018-07-10 10:06:59 -07:00
Matt Guthaus
98f1914e9f
Fix width of decoder with new input bus. Bank tests work again.
2018-07-10 09:31:41 -07:00
Matt Guthaus
019512bc25
Fix python3 module reference in functional test
2018-07-09 16:07:53 -07:00
Matt Guthaus
f234e43241
Reset new hierarchy_design instead of design for duplicate GDS name checker
2018-07-09 16:07:30 -07:00
Matt Guthaus
bbc98097ac
Add getpass include to unit test 30
2018-07-09 15:53:37 -07:00
Matt Guthaus
7bf271fd63
Skip pex and functional tests which are not working.
2018-07-09 15:52:07 -07:00
Matt Guthaus
9d5e5086a1
Add new extra design class with additional hierarchy for shared design rules
2018-07-09 15:43:26 -07:00
Matt Guthaus
94db2052dd
Consolidate metal pitch rules to new design class
2018-07-09 15:42:46 -07:00
Matt Guthaus
2e5d60ae87
Fix input height error for input rail pins
2018-07-09 14:45:27 -07:00
Matt Guthaus
e60d157310
Add input pin rails to hierarchical decoder for easier connections at SRAM level.
2018-07-09 13:16:38 -07:00
Matt Guthaus
5cf62e82cf
Merge branch 'dev' into multiport_cleanup
2018-07-09 09:58:13 -07:00
Matt Guthaus
af84742c19
Simplify m2 pitch calculation
2018-07-09 09:57:57 -07:00
Matt Guthaus
a9a95ebf7c
Fix pex test permissions
2018-07-09 09:11:14 -07:00
Matt Guthaus
b3dc6560f5
Remove regress.sh script
2018-07-09 09:10:12 -07:00
Matt Guthaus
5d32a426c4
Change test sram path so jobs can be simultaneously run.
2018-07-06 07:34:38 -07:00
Matt Guthaus
733be110a2
Add negation to return code so tests fail or pass properly.
2018-07-06 07:27:26 -07:00
Matt Guthaus
7c6974dd08
Fix options so it is in /tmp in RAM drive
2018-07-05 16:33:26 -07:00
Matt Guthaus
3260468477
Merge branch 'dev' of https://github.com/VLSIDA/PrivateRAM into dev
2018-07-05 16:27:49 -07:00
Matt Guthaus
077f3f20ec
Add return code for regression test
2018-07-05 16:27:47 -07:00
Matt Guthaus
cc815f4c33
Fix sense amp spacing after modifying index to be increment by one.
2018-06-29 15:30:17 -07:00
Matt Guthaus
99fe3b87fe
Remove temp file. Fixing indexing of sense amp outputs.
2018-06-29 15:22:58 -07:00
Matt Guthaus
6ac24dbf0c
Fix module name for python3
2018-06-29 15:12:15 -07:00
Matt Guthaus
3de81c8a67
Close files in trim spice and delay.
2018-06-29 15:11:41 -07:00
Matt Guthaus
8d61ccbc6f
Convert byte string to string.
2018-06-29 15:11:14 -07:00
Matt Guthaus
6cd1779f7b
Rename pex test so that it ends with _test and will be run by regress.py.
2018-06-29 12:47:22 -07:00
Matt Guthaus
32099646cf
Add back fix to revert bitcell from pbitcell.
2018-06-29 12:45:26 -07:00
Matt Guthaus
a9849eff3a
Merge in mtgrime's fix.
2018-06-29 12:44:26 -07:00
Michael Timothy Grimes
82eeb297dd
Merge branch 'dev' of https://github.com/VLSIDA/PrivateRAM into multiport
2018-06-29 12:07:03 -07:00
Michael Timothy Grimes
721f935d66
changing pbitcell tests to revert OPTS.bitcell to bitcell after tests
2018-06-29 12:00:36 -07:00
Matt Guthaus
ac7aa4537c
Remove uniqe pbitcell id since it isn't needed. Convert dos EOL to unix EOL characters. Convert python2.7 to python3 in pbitcell.
2018-06-29 11:49:02 -07:00
Matt Guthaus
fa17d5e7f3
Change permissions of tests to be executable so you don't have to type python each time.
2018-06-29 11:36:30 -07:00
Matt Guthaus
69921b0844
Add enclosing well to column mux. Move well contact to cell boundary.
2018-06-29 11:35:29 -07:00
Matt Guthaus
3becf92e7c
Combine pbitcell tests into one unit test
2018-06-29 10:00:23 -07:00
Matt Guthaus
df2dce2439
Fix module import names for python3. Rename parse function to something meaningful.
2018-06-29 09:45:07 -07:00
Matt Guthaus
8cee26bc8c
Allow python 3.5. Make easier to revise required version.
2018-06-29 09:23:43 -07:00
Matt Guthaus
2833b706c7
Fix duplicate name check for some modules by checking if name is a substring. Allows pbitcell to pass.
2018-06-29 09:23:23 -07:00
Michael Timothy Grimes
d7a024b8fc
adding another important port combination to unit tests
2018-06-03 19:36:48 -07:00
Michael Timothy Grimes
fea304eac1
corrected gate to contact spacing
2018-05-31 18:31:34 -07:00
Michael Timothy Grimes
e19a422696
simplfying calculations in pbitcell and changing pbitcell_array_test to check different port combinations
2018-05-31 17:39:51 -07:00
Michael Timothy Grimes
9e739d67d4
python 3 changes d.iterkeys() -> iter(d.keys())
2018-05-29 11:54:10 -07:00
Michael Timothy Grimes
8f131ddb2f
commiting changes from most recent pull from dev
2018-05-22 17:30:51 -07:00
Michael Timothy Grimes
17769f27c6
small changes to pbitcell
2018-05-22 14:51:42 -07:00
Michael Timothy Grimes
766042fe69
changed case of handmade bitcell pins from upper case to lower case. Made changes in other modules that are affected by this case. Only for SCMOS for this commit
2018-05-22 14:16:51 -07:00
Michael Timothy Grimes
5e4d4bf6cd
resolved conflicts with bitcell_array after PrivateRAM merge
2018-05-22 14:12:14 -07:00
Michael Timothy Grimes
b5df0cc30a
Merging branch with PrivateRAM dev
2018-05-18 15:15:31 -07:00
Matt Guthaus
f34c4eb7dc
Convert entire OpenRAM to use python3. Works with Python 3.6.
...
Major changes:
Remove mpmath library and use numpy instead.
Convert bytes to new bytearrays.
Fix class name check for duplicate gds instances.
Add explicit integer conversion from floats.
Fix importlib reload from importlib library
Fix new key/index syntax issues.
Fix filter and map conversion to lists.
Fix deprecation warnings.
Fix Circuits vs Netlist in Magic LVS results.
Fix file closing warnings.
2018-05-14 16:15:45 -07:00
Matt Guthaus
58628d7867
Merge branch 'multiport_cleanup' into dev
2018-05-11 09:23:43 -07:00
Matt Guthaus
0e35937da5
Commit local changes. Forgot what the status is.
2018-05-11 09:15:29 -07:00
Matt Guthaus
b14bef3bcf
Initial merge of incomplete multi-port clean with new supply routing.
2018-05-11 08:18:04 -07:00
Michael Timothy Grimes
3971835f24
changed pbitcell_array tests in regards to addition of read/write ports in pbitcell
2018-05-10 09:40:43 -07:00
Michael Timothy Grimes
7af95e4723
adding read/write port functionality to the design. Now the bitcell can have read/write, write, and read ports all at once. Changed unit tests to accomodate different combinations of ports.
2018-05-10 09:38:02 -07:00
Matt Guthaus
7b5791b0e9
Change tolerance of tests to a big value. Update tests.
2018-05-09 08:29:23 -07:00
Michael Timothy Grimes
683f5fb9fc
adding variable for w_ports to be used in multiport design
2018-04-26 14:03:48 -07:00
Michael Timothy Grimes
7d3f7eefac
syntax corrections to pbitcell and modifying transistor sizes
2018-04-26 14:03:03 -07:00
Matt Guthaus
875eb94a34
Move bank select below row decoder, col mux, or col decoder.
2018-04-23 12:17:16 -07:00
Matt Guthaus
e04f53dc27
Rotate via
2018-04-23 09:18:34 -07:00
Matt Guthaus
269d553857
Move sense amp to tri gate routing to M3... not ideal.
2018-04-23 09:14:18 -07:00
Matt Guthaus
cd502895c4
Undoing last change.
2018-04-23 08:48:50 -07:00
Matt Guthaus
8ce3809cad
Divide index
2018-04-20 17:09:15 -07:00
Matt Guthaus
ed76a784d2
Remove power rails and ring.
2018-04-20 15:51:19 -07:00
Matt Guthaus
19a957a57c
Fix unattached label on sense amp out by changing layer.
2018-04-20 15:48:38 -07:00
Matt Guthaus
d734c05b71
Fix missing vdd pins and fix routing between sense amp, bitcell array and column mux.
2018-04-20 15:47:21 -07:00
Matt Guthaus
df9bdccd45
Change lvs check to look only at the last/top module.
2018-04-20 15:46:12 -07:00
Matt Guthaus
929122b6dc
Change default to scmos. Refactor add column mux.
2018-04-20 12:52:41 -07:00
Matt Guthaus
c75eafe085
Fix some errors
2018-04-18 09:37:33 -07:00
Matt Guthaus
63a8f7c653
Remove m2 from write driver
2018-04-16 16:15:35 -07:00
Matt Guthaus
bb1ec63c4f
Removed msf data flop from bank
2018-04-16 16:03:46 -07:00
Matt Guthaus
1ba87c88f5
Remove supply rails in decoder
2018-04-16 15:59:52 -07:00
Matt Guthaus
13adfc3724
Add bank ground routing
2018-04-16 10:15:36 -07:00
Matt Guthaus
3fe4578feb
Change stages of delay to odd
2018-04-16 10:15:15 -07:00
Matt Guthaus
70c92c27ef
Supply to M3 for bank select logic
2018-04-11 16:55:09 -07:00
Matt Guthaus
010a187545
Remove dead logic
2018-04-11 16:54:55 -07:00
Matt Guthaus
e038561b4a
Move supply to M3 in wordline driver
2018-04-11 16:23:45 -07:00
Matt Guthaus
6640d3491d
Tri gate and array supply to M2 and M3
2018-04-11 15:11:47 -07:00
Matt Guthaus
1e36e8e20c
Fix ms_flop array for M3 supplies
2018-04-11 14:25:04 -07:00
Matt Guthaus
873be38e15
Add M3 pins on dff_buf array
2018-04-11 12:09:15 -07:00
Matt Guthaus
4971dde316
Rename pin variable
2018-04-11 12:08:57 -07:00
Matt Guthaus
fa59b3d33d
Copy predecoder supply pins
2018-04-11 11:56:41 -07:00
Matt Guthaus
1afb0a1d86
Add M3 supply vias to decoder.
2018-04-11 11:47:37 -07:00
Matt Guthaus
3ba90c035f
Don't bring M2 rails over supply to allow supply connections.
2018-04-11 11:47:22 -07:00
Matt Guthaus
f3baf48c22
Rotate vias in hierarchical predecodes
2018-04-11 11:12:32 -07:00
Matt Guthaus
424eb17921
Add M3 pins to hierarchical predecodes
2018-04-11 11:10:34 -07:00
Matt Guthaus
4f8ab78ee2
Change write driver supply pins to M2
2018-04-11 09:29:54 -07:00
Matt Guthaus
a6c2e77bcf
Move precharge and column mux cells to pgate directory.
...
Move gnd to M3 in column mux.
Create column mux cell unit test.
2018-04-06 17:15:14 -07:00
Matt Guthaus
91e342e4c9
Move precharge vdd pin to left edge.
2018-04-04 15:03:29 -07:00
Matt Guthaus
a772217172
Route precharge_array vdd in M3
2018-04-04 13:49:55 -07:00
Matt Guthaus
f9916f9f43
Route precharge vdd to M3
2018-04-04 13:34:56 -07:00
Matt Guthaus
4c4cfb2a3c
Add local dir for output. Will remove later.
2018-04-04 09:55:32 -07:00
Michael Timothy Grimes
7f46a0dead
merging changes in bitcell.py
2018-04-03 09:46:12 -07:00
Matt Guthaus
a0bf5345f8
Mostly working for 1 bank.
2018-03-23 08:14:26 -07:00
Matt Guthaus
97c08bce95
Add dffs to control logic. Rename layout pin segment/rect functions for consistency. Redo gnd/vdd pins in control.
...
Shift s_en buffers even with other cells.
2018-03-23 08:14:09 -07:00
Matt Guthaus
696433b1ec
Add bank_sel to bank_select module as input.
...
Remove reference to control in sram.
Add dff_buf_array to options.
Added inverted DFF
Add variable height pinvbuf
2018-03-23 08:13:39 -07:00
Matt Guthaus
5bf915a232
Detect via size for power ring.
2018-03-23 08:13:28 -07:00
Matt Guthaus
ed2fa10caa
Use LSB for column mux.
...
Detect via size for power ring.
2018-03-23 08:13:20 -07:00
Matt Guthaus
bab92fcf38
Rework hierarchical decoder to not be folded. Remove address from central bank bus and access via side pins now. Eight way column mux now works.
2018-03-23 08:13:20 -07:00
Matt Guthaus
1f81b24e96
Single bank passing DRC and LVS again.
...
Unfold hierarchical decoder to improve routability.
2018-03-23 08:13:10 -07:00
Matt Guthaus
b867e163a6
Move label pins to center like layout pins.
...
Rework of control logic with vertical poly. Passes DRC/LVS.
Single bank passing DRC.
2018-03-23 08:12:59 -07:00
Matt Guthaus
8ca9ba4244
Recreate delay chain and RBL to have vertical poly only.
2018-03-23 08:12:47 -07:00
Matt Guthaus
ed8eaed54f
Reworking control logic for veritcal poly. Rewrote delay line. Rewrote buffered-DFF array.
2018-03-23 08:12:47 -07:00
Matt Guthaus
c020d74f26
Add dff_buf and dff_array modules.
2018-03-23 08:11:51 -07:00
Michael Timothy Grimes
0cc077598e
Added member functions to bitcell.py and pbitcell.py for use in bitcell_array.py. bitcell_array now used only one function for every type of bitcell.
2018-03-15 12:02:38 -07:00
Michael Timothy Grimes
65735c08e2
fixed bitcell_array to work with different sized pbitcells, changed sizing in pbitcell to minimize space between inverters
2018-03-08 16:39:26 -08:00
Michael Timothy Grimes
0ea5d0b6a7
making changes to bitcell_array to account for the addition nets from the multiported bitcells
2018-03-06 17:03:21 -08:00
Michael Timothy Grimes
820a8440c9
adding unit test for bitcell array using pbitcell
2018-03-06 16:36:11 -08:00
Matt Guthaus
a2514878c1
Simplify dff array names of 1-dimension. Add ports on metal2.
2018-03-05 16:22:35 -08:00
Matt Guthaus
1eda3aa131
Add back offset all coordinates in sram.py.
2018-03-05 14:22:24 -08:00
Matt Guthaus
ba82222475
Add bank_select module option
2018-03-05 14:06:12 -08:00
Matt Guthaus
54f245cb9f
Fix capitalization of pins in dff_array
2018-03-05 14:04:34 -08:00
Matt Guthaus
6e9437356a
Fix LEF tests with new power supplies.
2018-03-05 13:55:02 -08:00
Matt Guthaus
4205a6a700
Connect bank supply rings in sram.py.
2018-03-05 13:49:22 -08:00
Matt Guthaus
0c203c1c7e
RBL width is max of delay chain or bitcell load.
2018-03-05 10:23:13 -08:00
Matt Guthaus
98fb1173df
Move bank select logic to a self contained module.
2018-03-05 10:22:51 -08:00
Matt Guthaus
0f721a3d40
Add vdd and gnd rails around bank structure.
2018-03-04 17:53:22 -08:00
Matt Guthaus
8d9b79dfd8
Add dff_buf for buffered flop arrays.
2018-03-04 16:13:10 -08:00
mguthaus
04ed3792c7
Fix analytical lib tests with new power numbers.
2018-03-02 18:13:06 -08:00
Matt Guthaus
242a1a68e0
Fix duplicate instance gds output bug that only showed up in Magic extraction. Every time we saved a GDS, additional instances were put in the GDS file. Most extraction tools ignored this, but Magic actually extracted duplicates.
2018-03-02 18:05:46 -08:00
Matt Guthaus
2b130de198
Rewrite run_lvs.sh script to utilize setup.tcl file.
2018-03-02 18:03:55 -08:00
Michael Timothy Grimes
fc294cb282
Fixed cell height and width
2018-03-02 10:53:29 -08:00
Michael Timothy Grimes
d33dec4e9e
Separated add_globals function into add_ptx and add_globals
2018-03-02 10:49:26 -08:00
Matt Guthaus
7293eb33bc
Merge branch 'dev' of https://github.com/mguthaus/OpenRAM into dev
2018-03-02 10:30:16 -08:00
Hunter Nichols
d0dcd9f34b
Fixed comment style on power functions. Also added power parameters to scn3me_subm tech file and tested functionality.
2018-03-01 23:34:15 -08:00
Michael Timothy Grimes
d6ef91786b
updating pbitcell with latest layout optimizations
2018-02-28 17:56:13 -08:00
Hunter Nichols
93ad99b9e1
Changed variable names in analytical power function to be more clear.
2018-02-28 12:32:54 -08:00
Hunter Nichols
6a3f0843ff
Fixed accidental changes made to analytical delay.
2018-02-28 12:18:41 -08:00
Michael Timothy Grimes
1ba626fce1
removed pbitcell for compiler folder
2018-02-28 11:28:04 -08:00
Michael Timothy Grimes
d41abb3074
moved pbitcell to new folder for parametrically sized cells
2018-02-28 11:25:22 -08:00
Michael Timothy Grimes
4d3f01ff2f
The bitcell currently passes DRC and LVS for FreePDK45 and SCMOS
...
There are 2 benchtests for the bitcell:
1) one with 2 write ports and 2 read ports
2) one with 2 write ports and 0 read ports
The second test is meant to show how the bitcell functions when read/write ports are
used instead of separate ports for read and write
The bitcell currently passes both tests in both technologies
Certain sizing optimizations still need to be done on the bitcell
2018-02-28 11:14:53 -08:00
Michael Timothy Grimes
bf7d846e5f
Merge branch 'master' of https://github.com/mguthaus/OpenRAM into multiport
2018-02-28 04:29:38 -08:00
Hunter Nichols
e6d6680da1
Fixed conflict in delay.py
2018-02-27 13:02:22 -08:00
Matt Guthaus
2b839d34a3
Get rid of netgen error of undefined dlatch. Fix sp_read to find correct subckt name and pins.
2018-02-27 08:59:46 -08:00
Hunter Nichols
d0e6dc9ce7
First version of analytical power models. Still huge room for improvement. Analytical power printed with 1 verbose level.
2018-02-26 16:32:28 -08:00
Matt Guthaus
35137d1c67
Add extra comments in stimulus output.
2018-02-26 14:39:06 -08:00
Matt Guthaus
a732405836
Add utility script gen_stimulus.py to help create simulations for debugging.
2018-02-26 08:54:35 -08:00
mguthaus
7a14cf16e0
Change priority of debug info for DRC/LVS.
2018-02-25 11:14:31 -08:00
mguthaus
322f354878
Convert period to float to avoid type mismatch.
2018-02-25 11:13:43 -08:00
mguthaus
f3efb5fb50
Fixed leakage and power unit test results.
2018-02-23 15:20:52 -08:00
Matt Guthaus
d88ff01792
Change default operating conditions to OC
2018-02-23 13:38:55 -08:00
Matt Guthaus
29aa6002e6
Make period into p instead of remove it. Changes file names...
2018-02-23 12:50:02 -08:00
Matt Guthaus
9d1f31467e
Move internal power to clock pin. Differentiate leakge power when CSb is high.
2018-02-23 12:21:32 -08:00
Matt Guthaus
107752b1fb
Fix num words in example.
2018-02-23 12:17:43 -08:00
Matt Guthaus
e3e7a31c6b
Fix syntax error in functional test.
2018-02-23 07:47:01 -08:00
Hunter Nichols
62ad30e741
Added initial version of analytical power esitmation. Loops through instances but power estimate is not accurate.
2018-02-22 19:35:54 -08:00
Matt Guthaus
23f06bfa9a
Reduce number of parameters in function calls for delay.py.
2018-02-22 11:14:58 -08:00
Hunter Nichols
beb7dad9bc
Added corner paramters to power functions. This commit does not compile (sorry)
2018-02-22 00:15:55 -08:00
Hunter Nichols
d4a0f48d4f
Added power calculations for inverter. Still testing.
2018-02-21 19:51:21 -08:00
mguthaus
fbc2d772be
Fix index order of golden tests.
2018-02-21 19:37:10 -08:00
Matt Guthaus
b31f3c18af
Change BSIM3 models to version 3.3.0. Add comment about multithreading selection.
2018-02-21 17:50:12 -08:00
mguthaus
a22badeeb5
Fix pruned results
2018-02-21 17:48:46 -08:00
Matt Guthaus
cf5f1e94b9
Update hspice results
2018-02-21 16:12:20 -08:00
Matt Guthaus
4e414b6c15
Fix unintended unmerge of changes. Bad bad.
2018-02-21 16:03:49 -08:00
Matt Guthaus
a44346110b
Fix merge of results.
2018-02-21 15:47:07 -08:00
Matt Guthaus
fcacd46866
UPdate tests with new delay and slew names and leakage power.
2018-02-21 15:45:49 -08:00
mguthaus
b8b2375346
Updated golden tests with new leakage aware power numbers.
2018-02-21 15:44:52 -08:00
Matt Guthaus
4b9ea66a42
Change names of variables to indicate transistions for clarity.
2018-02-21 15:13:46 -08:00
Matt Guthaus
71831e7737
Get delays only for successful run.
2018-02-21 14:05:39 -08:00
Matt Guthaus
9600dae7df
Remove print statements.
2018-02-21 13:45:14 -08:00
Matt Guthaus
7d2f4386e2
Include leakage of non-trimmed array. Back out leakage of trimmed, add back leakage of nontrimmed. Reorgs simulation of delay and power a bit.
2018-02-21 13:38:43 -08:00
Hunter Nichols
179a27b0e3
Added some power functions.
2018-02-20 18:22:23 -08:00
Michael Timothy Grimes
4ea2a70a1b
removing unnecessary unit test for pbitcell
2018-02-19 10:58:08 -08:00
mguthaus
5e8dff1e90
Fix unit tests with newest RBL delays. Fix tech problem with new spice models.
2018-02-16 13:54:05 -08:00
mguthaus
c1c1ba38a3
Fix unit test to have fanout.
2018-02-16 11:53:38 -08:00
mguthaus
28fe49d069
Change RBL to allow stages and FO for configuration
2018-02-16 11:51:01 -08:00
mguthaus
1297cb4e40
Convert dff to VTG transistors in 45nm. Correct pin order in dff library cell.
2018-02-16 10:40:05 -08:00
mguthaus
cb449a1cd2
Ignore non-rectangular pins.
2018-02-16 10:24:57 -08:00
Matt Guthaus
2e3e95efda
Change ratio of delay line and RBL size. Need to tune it better automatically.
2018-02-14 16:50:08 -08:00
Matt Guthaus
9559421ca8
Connect dff array clk in rows and columns.
2018-02-14 16:46:26 -08:00
Matt Guthaus
2d87dcda46
dff array done except for clock net
2018-02-14 16:03:29 -08:00
Hunter Nichols
8ea384a761
Fixed merging issues with power branch
2018-02-14 15:21:42 -08:00
Matt Guthaus
0804a1eceb
Add new DFF. Create DFF module. Start dff_array, not tested.
2018-02-14 15:16:28 -08:00
mguthaus
767990ca3b
Update golden lib tests. Add new generic SCMOS models. Fix tech error with new msflop_in_cap name.
2018-02-13 15:54:50 -08:00
Matt Guthaus
f457091bba
Fix typo in precharge.
2018-02-12 15:34:01 -08:00
Matt Guthaus
e32b0b8f7a
Change precharge input from clk to en
2018-02-12 15:32:47 -08:00
mguthaus
e210d3d49a
Make some common lib memory sizes. Update Makefile to auto build and char them all.
2018-02-12 12:00:59 -08:00
mguthaus
636099c5e1
Example config only characterizes a single corner. Remove default name of sram to generate more meaningful name. Begin pre-computed IP library.
2018-02-12 11:22:47 -08:00
Matt Guthaus
a12ebeed9f
Add multiple process corners. Unit tests use nominal corner only. Add fake SCMOS nominal models, but they are broken.
2018-02-12 09:33:23 -08:00
mguthaus
1795dc5677
Fix three unit tests to work with new lib corner files.
2018-02-11 20:43:41 -08:00
Michael Timothy Grimes
72fc92ad95
Merge branch 'master' of https://github.com/mguthaus/OpenRAM into multiport
2018-02-11 16:47:53 -08:00
mguthaus
f690532563
Add new corner-based lib files to unit tests.
2018-02-11 16:35:10 -08:00
Matt Guthaus
4dd075c7b7
Add V and C to names of lib files.
2018-02-11 16:34:32 -08:00
Matt Guthaus
ce164fb7a8
Merge branch 'dev' of https://github.com/mguthaus/OpenRAM into dev
2018-02-10 10:03:26 -08:00
Matt Guthaus
b75eef3684
Fix syntax error.
2018-02-10 08:02:59 -08:00
Matt Guthaus
4d35972553
Get default corner options from tech file
2018-02-09 15:49:55 -08:00
Matt Guthaus
f86985821a
Begin modifications for corner-based characterization. Made stimuli.py a class. Golden output files are not updated.
2018-02-09 15:33:03 -08:00
Matt Guthaus
d19867e64c
Move utils to base.
2018-02-09 10:42:23 -08:00
Matt Guthaus
84c798d9e4
Move last few modules to base dir
2018-02-09 10:29:37 -08:00
Matt Guthaus
7c83ef3f04
Fix missing subdir name. Comment about organization.
2018-02-09 10:27:43 -08:00
Matt Guthaus
15747b4759
Merge branch 'dev' of github.com:mguthaus/OpenRAM into dev
2018-02-09 10:25:28 -08:00
Matt Guthaus
7100d6f904
Organize top-level files into subdirs.
2018-02-09 10:25:24 -08:00
Matt Guthaus
489faaba99
Merge branch 'dev' of https://github.com/mguthaus/OpenRAM into dev
2018-02-09 10:20:56 -08:00
Matt Guthaus
13fd87d99e
Accidentally committed to master. Merge branch 'master' into dev
2018-02-09 10:19:22 -08:00
Matt Guthaus
d62da44329
Fix bug where path does not obey specified width.
2018-02-09 10:03:09 -08:00
mguthaus
5aa92a6549
Reorganize top-level functions a bit more. Add help info to banner.
2018-02-09 09:53:28 -08:00
mguthaus
8719a19377
Move parameter setting to config reading rather than status function.
2018-02-09 09:26:13 -08:00
Matt Guthaus
3c86f94549
Change argument name for lib in tests as well.
2018-02-08 15:28:49 -08:00
Matt Guthaus
d684189241
Don't output text in SRAM during unit test.
2018-02-08 14:58:55 -08:00
Michael Timothy Grimes
ce83b67350
Merge branch 'master' of https://github.com/mguthaus/OpenRAM into multiport
2018-02-08 14:27:53 -08:00
Michael Timothy Grimes
b90f5c9a59
pbitcell is now a multiport cell with a read transistor that connects to RBL and RROW and a read access transistor that connects to Q and gnd
...
current commit works without drc errors on freepdk45 but has drc rules not included in scn3me_subm. Does have lvs errors
adding several unit tests: the basic one that tests the full functionality of the pbitcell, one with no write ports, and one with no read ports
2018-02-08 14:21:15 -08:00
Matt Guthaus
17716191c1
Clean up time statements in openram output
2018-02-08 13:11:18 -08:00
Matt Guthaus
6c89f7965d
Refactor openram.py.
2018-02-08 12:47:19 -08:00
Matt Guthaus
54c21f6282
Added method=gear back to ngspice simulation to fix convergence bug.
2018-02-07 21:07:11 -08:00
mguthaus
e8f658d356
Add updated non-pruned unit test results.
2018-02-07 19:35:21 -08:00
mguthaus
63ce754c72
Update unit test results
2018-02-07 18:48:22 -08:00
Matt Guthaus
1b4be741df
Fix broken print statements
2018-02-07 17:39:42 -08:00
Matt Guthaus
9cc46453a2
Fix PWL bug to output last value. Fix bug in setup/hold use of improved PWL function.
2018-02-07 15:43:09 -08:00
Matt Guthaus
2413304f4e
Update replica bitline test for new parameters. Add small test and a larger test.
2018-02-07 15:15:19 -08:00
Matt Guthaus
1a491f3cd0
Make temp directory unique for test 30. Update LEF files after delay chain size change.
2018-02-07 15:05:21 -08:00
Matt Guthaus
e93517529c
Make delay chain length and bitcell load parameters to enable tuning. Rename the parameters to be more descriptive.
2018-02-07 14:54:59 -08:00
Matt Guthaus
8e91552701
Remvoe newline.
2018-02-07 14:33:29 -08:00
Matt Guthaus
5dacafc698
Disable gear integration in ngspice. Not sure it is necessary anymore and it is quite slow.
2018-02-07 14:20:15 -08:00
Matt Guthaus
a2bf66b063
Add metal1 gnd line to prevent DRC errors when sizing delay chain.
2018-02-07 14:15:13 -08:00
Matt Guthaus
3e4ef36efe
Clean up Python comments and improve comments in stimulus file.
2018-02-07 14:04:18 -08:00
Matt Guthaus
3820861ce8
Increase control delay line from 4 inverters to 3 FO4 delays. Need to dynamically adjust this.
2018-02-07 13:10:45 -08:00
Matt Guthaus
5c4999d4cc
Move delay-specific stimulus commands to delay.py. Keep stimuli.py generic.
2018-02-07 12:58:47 -08:00
Matt Guthaus
8e91faaccb
Remove version from OpenRAM. We will go bit git hashes.
2018-02-06 10:56:26 -08:00
mguthaus
3af1bbba26
Updated delay tests with new delays including ps, pd, as, ad.
2018-02-06 07:58:25 -08:00
mguthaus
c3592b3d46
Added new timing tests with ps,pd,as,ad caps included.
2018-02-06 05:26:27 -08:00
Matt Guthaus
33b04bbca5
Add area/perimeter of source/drain to transistor netlist. Gets rid of some spice warnings, gives better non-annotated measurements.
2018-02-05 16:02:57 -08:00
Matt Guthaus
941094ce31
Return slews to 10-90 and 90-10 so I don't have to re-hardcode the results in unit tests.
2018-02-05 15:21:53 -08:00
Matt Guthaus
4505c0f74e
Improve error to setup model dir path. Use it to override FreePDK45 too.
2018-02-05 15:12:12 -08:00
Matt Guthaus
85f4438280
Exit with error if model files are not found.
2018-02-05 15:09:21 -08:00
mguthaus
e01d5b7c61
Disable virtual connects at top level LVS with Calibre.
2018-02-05 14:52:51 -08:00
Matt Guthaus
e2e5f45cec
Correct vague comments about char cycles. End simulation after last period even though a transition would mean a failed simulation.
2018-02-05 14:07:12 -08:00
Matt Guthaus
a8e1abdce8
Use method=gear for ngspice to improve convergence. Split TD for trig and targ in measure statements. Start waiting for clk neg edge trigger at clk pos edge.
2018-02-05 11:36:46 -08:00
Matt Guthaus
92095e52f7
Update new LEF files for unit tests.
2018-02-05 10:27:56 -08:00
Matt Guthaus
f21ff38cae
Simplify via offsets in replica bitline. Route clk_bar in control over supply rail until we get channel router working.
2018-02-05 10:22:38 -08:00
Matt Guthaus
84b42b0170
Fix bug in trim netlist. Add info comments to spice netlist and trimmed netlist. Increase verbosity for simulations.
2018-02-02 19:33:07 -08:00
Matt Guthaus
7127895270
Update LEF files for unit tests
2018-02-02 15:51:29 -08:00
Matt Guthaus
d6d96907ef
Route to the right in the bank decode for DRC.
2018-02-02 15:50:45 -08:00
Matt Guthaus
1415d139a3
Specify file format for sp spice extension.
2018-02-02 15:33:35 -08:00
Matt Guthaus
3873f72a58
Ensure wells are spaced in the bank select and column decoder
2018-02-02 15:26:15 -08:00
Matt Guthaus
ffcf58100e
Clean up column mux by moving pins to own function. Adjust spacing between column mux and bitcell to prevent DRCs. Fix up find lowest/highest functions when no objects or instances in a module.
2018-02-02 15:17:21 -08:00
Matt Guthaus
9d043b904e
Remove unnecessary design reset
2018-02-02 14:26:53 -08:00
Matt Guthaus
27dbb95c19
Fix name of column mux.
2018-02-02 14:26:39 -08:00
Matt Guthaus
9d7dc4c552
Reset even if not purging temp files.
2018-02-02 14:26:09 -08:00
Matt Guthaus
2a8199c3ca
Force re-extract of cells in DRC/LVS.
2018-02-02 14:21:31 -08:00
Matt Guthaus
fb90b8f5fe
Fix pin nameon sense amp spice. Fix NAND2 bug in hierarchical decoder.
2018-02-02 14:08:56 -08:00
Matt Guthaus
3be59fb762
Change DRC output for magic to drc.summary just like calibre output.
2018-02-02 14:07:15 -08:00
Matt Guthaus
63392c8d71
Fix gnd connection in control logic.
2018-02-02 13:04:38 -08:00
Matt Guthaus
072c8e3174
Change LVS report file to same name as Calibre
2018-02-02 12:47:42 -08:00
Hunter Nichols
db4913dd9c
Added skeleton code for analytical power in functions with analytical delay.
2018-02-02 12:31:34 -08:00
Matt Guthaus
74064fc854
Replace LEF files with new changes.
2018-02-02 12:31:34 -08:00
Matt Guthaus
e8d001a3f9
Change wen to en in spice lib files. Check lvs report insted of stdout with netgen.
2018-02-02 12:31:33 -08:00
Matt Guthaus
e4295ea61b
Fix pin names to lower case. Fix write driver DRC errors and LVS error.
2018-02-02 12:31:33 -08:00
Matt Guthaus
3e2d4d631d
Do not require hspice during tests. Check if a valid simulator is found, however.
2018-02-02 12:31:33 -08:00
Matt Guthaus
7c9c16e29c
Fix assertion error syntax problem. Do not require hspice for functional test. Improve delay fail error message.
2018-02-02 12:31:33 -08:00
Matt Guthaus
cc987daeb9
Add well around column muxes.
2018-02-02 12:31:33 -08:00
mguthaus
2ad52205c5
Clean up messages.
2018-02-02 12:31:33 -08:00
mguthaus
d0c9382d97
Modify unit tests to distinguish between FAIL and ERROR. Move comparison utilities into our derived unit test class.
2018-02-02 12:31:33 -08:00
Hunter Nichols
56f7caf59f
Added first test power model to sram
2018-02-02 12:31:33 -08:00
Matt Guthaus
5527e73db0
Add descriptive exceptions along with cleanup in unit test checking.
2018-02-02 12:31:33 -08:00
Matt Guthaus
be1c59f10c
Make both gnd rails in 6T cell from top to bottom in SCMOS. Connect in bitcell array.
2018-02-02 12:31:33 -08:00
Matt Guthaus
ea5eda91fc
Connect all gnd rails of RBL.
2018-02-02 12:27:24 -08:00
Matt Guthaus
d552d88f45
Add -d option to not delete temp directory on successful runs.
2018-02-01 11:53:02 -08:00
Matt Guthaus
8ef1e0af2c
Replace LEF files with new changes.
2018-02-01 05:43:37 -08:00
Matt Guthaus
64546ad3dd
Change wen to en in spice lib files. Check lvs report insted of stdout with netgen.
2018-02-01 05:38:48 -08:00
Matt Guthaus
512448f9e8
Fix pin names to lower case. Fix write driver DRC errors and LVS error.
2018-01-31 17:37:16 -08:00
Matt Guthaus
9fea4a1a2d
Do not require hspice during tests. Check if a valid simulator is found, however.
2018-01-31 16:21:43 -08:00
Matt Guthaus
590f6e01d1
Fix assertion error syntax problem. Do not require hspice for functional test. Improve delay fail error message.
2018-01-31 15:38:02 -08:00
Matt Guthaus
acf3fe8376
Add well around column muxes.
2018-01-31 14:31:50 -08:00
mguthaus
4273a3717d
Clean up messages.
2018-01-31 11:54:20 -08:00
mguthaus
4aee700331
Modify unit tests to distinguish between FAIL and ERROR. Move comparison utilities into our derived unit test class.
2018-01-31 11:48:41 -08:00
Matt Guthaus
1175f515c8
Add descriptive exceptions along with cleanup in unit test checking.
2018-01-31 10:35:51 -08:00
Matt Guthaus
58da8af619
Make both gnd rails in 6T cell from top to bottom in SCMOS. Connect in bitcell array.
2018-01-31 10:04:28 -08:00
Matt Guthaus
012c3923be
Create empty setup.tcl file as workaround for resetting netgen LVS options until Tim fix's bug.
2018-01-31 08:28:53 -08:00
Matt Guthaus
264d55b16c
Remove temp files
2018-01-30 08:05:50 -08:00
Matt Guthaus
8fcb551953
Only perform DRC not LVS on transistors
2018-01-30 08:03:54 -08:00
Matt Guthaus
1d9274621a
Only remove files when cleaning temp dir
2018-01-30 07:58:31 -08:00
Matt Guthaus
0b6eddef43
Force write the specific cell during DRC.
2018-01-29 17:00:20 -08:00
Matt Guthaus
56770f558f
Consolidate checking into our own unit test class. Remove all files in temp dir after each test, not just spice and gds.
2018-01-29 16:59:29 -08:00
Matt Guthaus
313e06d2af
Fix pwell contact in column mux to have layers for Magic.
2018-01-29 15:53:22 -08:00
Matt Guthaus
6080b59058
Fix nand input ordering to correct netgen LVS error of wordline driver.
2018-01-29 15:36:37 -08:00
Matt Guthaus
a56fa0e787
Fix wrong pin order on pnand2 LVS problem.
2018-01-29 15:31:14 -08:00
Matt Guthaus
79715ae1a2
Fix input discrepencies in pre3x8
2018-01-29 15:25:41 -08:00
Matt Guthaus
3c5ecb963d
Remove level of indirection to ptx devices to allow LVS symmetries.
2018-01-29 15:25:15 -08:00
Matt Guthaus
586d80623e
Remove level of indirection to ptx devices to allow LVS symmetries.
2018-01-29 15:25:00 -08:00
Michael Timothy Grimes
fb2572bd71
Merge branch 'master' of https://github.com/mguthaus/OpenRAM into multiport
2018-01-28 21:44:22 -08:00
Matt Guthaus
31c192c2e9
Fix precharge nwell contact spacing DRC violatin.
2018-01-26 13:53:45 -08:00
Matt Guthaus
e46a4fb115
Use any spice for the functional tests.
2018-01-26 13:53:11 -08:00
Matt Guthaus
028146f3c2
Add output explaining error for not finding simulator in unit tests.
2018-01-26 13:23:11 -08:00
Matt Guthaus
369aa85cd2
Fail simulation tests if correct spice is not found. Correctly load spice characterizer.
2018-01-26 13:00:25 -08:00
Matt Guthaus
50107636a0
Fail test early if spice simulator is not found.
2018-01-26 12:47:32 -08:00
Matt Guthaus
1dc7752429
Fix 6T and replica cell contact spacing issues with Magic DRC.
...
DRC/LVS passing for all parameterized gates.
Magic and GDS match for SCMOS rules again.
2018-01-26 12:39:00 -08:00
Matt Guthaus
ac8eada0d8
Fix devices sizes in SCMOS sense amp. Elaborate magic/netgen scripts in comments.
2018-01-24 13:02:55 -08:00
Matt Guthaus
1b2df3a5a1
Properly ignore ad as, pd, ps property errors
2018-01-22 17:50:53 -08:00
Matt Guthaus
2468f224d9
SCMOS library cells passing LVS (with property errors though). Permute must be enabled before compare, duh.
2018-01-22 17:14:39 -08:00
Matt Guthaus
fb2ed1d46c
Add wells to fix DRC errors in SCMOS library cells.
2018-01-22 16:28:20 -08:00
Matt Guthaus
f572b83671
Add Makefile for parallel test execution.
2018-01-22 13:39:07 -08:00
Matt Guthaus
10ced33127
Fixed command line arguments to take priority over config file. Any option can be specified in config file now.
2018-01-21 11:21:09 -08:00
Matt Guthaus
84ec7a5be0
Convert unit tests to use new options as well.
2018-01-19 17:23:38 -08:00
Matt Guthaus
95fab1ca71
Remove personalized temp dir.
2018-01-19 16:39:14 -08:00
Matt Guthaus
490a70dee9
Simplify configuration file to allow all options to be over-riden. Move default module types to options.py to simplify config file.
2018-01-19 16:38:19 -08:00
Matt Guthaus
72b0617e81
Merge branch 'dev' of github.com:mguthaus/OpenRAM into dev
2018-01-19 16:19:12 -08:00
Matt Guthaus
efa465757c
Remove dead code ptx_port.
2018-01-19 16:19:05 -08:00
Matt Guthaus
fcc533ec11
Initial LVS using netgen. pinv nad pnand2 pass. No property checks in LVS yet.
2018-01-17 16:48:35 -08:00
Matt Guthaus
ba489f0291
Only check if using magic with freepdk when LVSDRC is enabled.
2018-01-17 07:38:29 -08:00
Matt Guthaus
7c50708158
Check that we are not using Magic for FreePDK45.
2018-01-12 14:50:35 -08:00
Matt Guthaus
243097cb33
Remove print statement in magic.py
2018-01-12 14:45:11 -08:00
Matt Guthaus
1b30eb4b64
Initial DRC with Magic is done.
2018-01-12 14:39:42 -08:00
Matt Guthaus
7a172873a3
Update unit tests to load verify after config file. Start magic DRC.
2018-01-12 10:24:49 -08:00
Matt Guthaus
e0a6b59773
Fix LEF test mismatch in regression.
2018-01-12 08:54:31 -08:00
Matt Guthaus
1701eac1a9
Added workaround to import layouts into Magic. Select and well layers in active contacts. Fixed missing implant enclose active DRC rule in parameterized cells.
2018-01-11 10:24:44 -08:00
Michael Timothy Grimes
64e7ed5b5e
Adding pbitcell.py: a multiport bitcell with a variable number of write ports and read ports
...
Adding 04_pbitcell_test.py: The benchtest for pbitcell
Mostly done. Layout nearly complete with the exception of the well contacts and a connection between the gates of the read
transistors and their corresponding vias. Then several drc corrections need to be made.
2018-01-09 13:39:42 -08:00
Matt Guthaus
f028436156
Add implant/select enclosure rule to ptx.
2018-01-08 12:27:50 -08:00
Matt Guthaus
e95988c639
Document tech files. Remove unused/redundant rules. Made rule names consistent/simple.
2018-01-08 11:57:51 -08:00
Matt Guthaus
547746f839
Merge branch 'dev'
2018-01-05 08:34:47 -08:00
Matt Guthaus
fd748b4fe4
Move info messages about modes to better locations.
2018-01-05 08:32:23 -08:00
Matt Guthaus
4885616bec
Remove metal3 in LEF library cells.
2017-12-19 13:12:39 -08:00
Matt Guthaus
97a2d620fe
Fix dev tests. Split pruned test to separate golden result.
2017-12-19 11:42:11 -08:00
Matt Guthaus
ee7bf7c5f2
Remove metal3 blanket blockage on library cells.
2017-12-19 09:55:59 -08:00
Matt Guthaus
40465d6518
Merge tolerance change from master.
2017-12-19 09:17:43 -08:00
Matt Guthaus
9059a15ceb
Remove tab in lef file.
2017-12-19 09:14:59 -08:00
Matt Guthaus
9a4b2b4341
Revised LEF and Verilog generation. Does not read GDS for speed improvements.
2017-12-19 09:01:24 -08:00
mguthaus
13902538ff
Increase lib file tolerance to 25 percent.
2017-12-19 07:41:08 -08:00
mguthaus
f98155fc0b
Increase lib file tolerance to 25 percent.
2017-12-19 07:39:43 -08:00
Matt Guthaus
317f2d1293
Merge update master and dev.
2017-12-18 08:13:59 -08:00
Matt Guthaus
a4a9205a56
Change thresholds to 50 percent.
2017-12-15 08:02:48 -08:00
Matt Guthaus
ed4ca62dbf
Update thresholds to 15 percent. Fix ngspice data.
2017-12-15 08:01:19 -08:00
Matt Guthaus
7e091fc622
Increase threshold to 30% for SCMOS
2017-12-14 16:52:49 -08:00
Matt Guthaus
e9005add14
Fix tests that were failing.
2017-12-14 15:43:05 -08:00
Matt Guthaus
819e249526
Remove nor_2 reference
2017-12-12 19:25:35 -08:00
Matt Guthaus
e3a6c1ac6b
Rewrite CONTRIBUTING.md to add changes relative to dev. Add small changes from orbe7947.
2017-12-12 15:50:45 -08:00
Matt Guthaus
abee235963
Rewrite the parameterized transistor and gate classes.
...
Changes propagate through all designs.
All modules use instance and layout pins.
2017-12-12 15:04:01 -08:00
Matt Guthaus
1085497476
Fail when using Magic/netgen for DRC/LVS. Remove arguments in running precharge test.
2017-12-12 13:06:01 -08:00
Matt Guthaus
8df46abb30
Move nmos gate to the top of the ptx.
2017-12-01 08:31:16 -08:00
Matt Guthaus
45ae8c7315
Reduce beta test. Remove other betas. Beta doesn't work well due to simplified rules.
2017-11-30 16:02:32 -08:00
Matt Guthaus
74a22fb515
Reduce beta test. Remove other betas. Beta doesn't work well due to simplified rules.
2017-11-30 16:02:17 -08:00
Matt Guthaus
44faa8d58d
Fixed SCMOS bugs.
2017-11-30 15:58:16 -08:00
Matt Guthaus
c4ce646b81
Fix min height check for scmos
2017-11-30 13:42:55 -08:00
Matt Guthaus
c7ff58cef3
Round finger widths to grid.
2017-11-30 12:15:20 -08:00
Matt Guthaus
107cad15a1
Change layout function names to be consistent.
2017-11-30 12:01:04 -08:00
Matt Guthaus
0214cfb48e
Fix single finger ptx bugs.
2017-11-30 11:56:40 -08:00
Matt Guthaus
6207f2157c
Fix gnd vdd rail overlap bugs.
2017-11-30 09:18:28 -08:00
Matt Guthaus
de5c736cb4
Remove temp directory change.
2017-11-29 16:15:22 -08:00
Matt Guthaus
9abe82b203
Pinv implemented, but not DRCed. More new unit tests added for pinv.
2017-11-29 16:11:15 -08:00
Matt Guthaus
13008e1de4
Split pinv unit tests.
2017-11-29 13:43:50 -08:00
Matt Guthaus
1bcef7e3ee
Prune ptx code. Change sizes to be relative to min size.
2017-11-29 12:31:00 -08:00
Matt Guthaus
d4f8d63442
Fix bug for even number of fingers. Add even finger tests.
2017-11-29 09:44:40 -08:00
Matt Guthaus
7ff82a2aed
Improved ptx code but removed internal active/poly positions.
2017-11-28 18:13:32 -08:00
mguthaus
09ca8ba17d
Improve output format. Rename option to be more sensible.
2017-11-22 15:57:29 -08:00
Matt Guthaus
cf66c83fe4
Fixed address bug to simulate correct wordline
2017-11-21 13:57:59 -08:00
Matt Guthaus
aa4768bf87
Add time info for spice simulation calls.
2017-11-21 13:04:18 -08:00
Matt Guthaus
6873342748
Prepend the config file path so it imports your local copy rather than example_config_freepdk, for example.
2017-11-20 11:57:41 -08:00
Matt Guthaus
76ea89e06f
Merge branch 'magic_netgen_support' into dev
2017-11-16 13:57:18 -08:00
Matt Guthaus
88740c107f
Improve global and code structure using modules.
...
Comment and reorganize globals.py
Tests consistently use globals module for OPTions.
Add characterizer as module support.
Modify unit tests to reload new characterizer for ngspice/hspice.
Enable relative and absolute config file arguments so you can run
openram from anywhere on any config file.
2017-11-16 13:52:58 -08:00
Matt Guthaus
347f1f97fd
Merge branch 'master' into magic_netgen_support
2017-11-15 17:05:38 -08:00
mguthaus
2eb9f5c6bc
Move verify into a module. Make characterizer a module. Move exe searching to modules.
2017-11-15 17:02:53 -08:00
Matt Guthaus
658f794b12
Add draft of assura DRC/LVS
2017-11-15 12:07:10 -08:00
Matt Guthaus
f6410e0371
Merge branch 'master' into dev
2017-11-15 11:46:11 -08:00
Matt Guthaus
75a3884568
Remove tab
2017-11-15 11:45:55 -08:00
Matt Guthaus
f123a3ca40
Merge branch 'master' into dev
2017-11-15 07:43:56 -08:00
Matt Guthaus
102db4fecf
Fixed prune unit test by relaxing tolerance.
2017-11-15 07:43:43 -08:00
Matt Guthaus
37edd7cac6
Change unit tests to use verify instead of calibre. Debugging gds read comments in magic.py.
2017-11-14 16:24:26 -08:00
Matt Guthaus
4285e576f8
Change error to warning for magic/netgen.
2017-11-14 15:49:47 -08:00
Matt Guthaus
40410cc9f5
Clean up code to work when no drc/lvs/pex is found.
2017-11-14 15:31:58 -08:00
Matt Guthaus
257cd62d25
Remove tools from tech file and have search order preference like spice.
2017-11-14 15:27:03 -08:00
Matt Guthaus
3e0f39cd8e
Skeleton code for indirect DRC/LVS/PEX tools.
2017-11-14 14:59:14 -08:00
Matt Guthaus
70ab672c5c
Pad strings in GDS to even number of bytes per bug report.
2017-11-14 14:30:00 -08:00
Matt Guthaus
29c5ab48f0
Add spice pruning for speed-up. Fix spice search bugs. Add time in stages to openram output.
2017-11-14 13:24:14 -08:00
Matt Guthaus
8071dcc0f3
Add customsim (xa) as optional simulator. Fix regex to support scientific notation. Go through list of preferred simulators in order. Always abort if command-line simulator not found.
2017-11-12 10:42:41 -08:00
Jun Chen
054e4d3c28
my change
2017-11-11 16:54:04 +09:00
Matt Guthaus
95f1a24f72
Change default delay modeling to analytical. Add command-line option characterization by simulation (-c).
2017-11-09 11:13:44 -08:00
Matt Guthaus
0744cbcc60
Merge branch 'master' into dev
2017-11-09 09:11:26 -08:00
Matt Guthaus
05158f104b
Removed unnecessary sram_tb.v file.
2017-10-17 15:51:31 -07:00
mguthaus
5c10aebc0f
Fix bug in multifinger ptx. Replace LEF file with new snapped layout.
2017-10-06 16:23:23 -07:00
Matt Guthaus
10a8531813
Fix new offset snap problems in wordline drive. Fix ptx multifinger pin bug. Add new add_center_rect function.
2017-10-06 15:30:15 -07:00
Matt Guthaus
a9797d12ab
Added pins to the ptx class. Modified pin class to do lazy write of GDS shapes to allow removal of pins.
2017-10-05 17:35:05 -07:00
Matt Guthaus
b2043bef11
Fix small delay difference in unit test 21_hspice_delay_test.
2017-10-05 08:13:53 -07:00
Matt Guthaus
69e44c78d8
Upgrade version to 1.01
2017-10-04 20:18:30 -07:00
Matt Guthaus
59a0394c2b
Update LEF files with modified blockages.
2017-10-04 20:17:30 -07:00
Matt Guthaus
788f3d9122
4-bank SRAMs are now working.
2017-10-04 18:05:45 -07:00
Matt Guthaus
21c77645d3
Remove LVS correspondence points for multibank in single bank.
2017-09-29 16:44:24 -07:00
Matt Guthaus
e06e1691c8
Two bank SRAMs working in both technologies.
2017-09-29 16:22:13 -07:00
Matt Guthaus
d29dd03373
SRAM single bank passing DRC/LVS.
2017-09-13 15:46:41 -07:00
Matt Guthaus
3ea003c367
Fix 1-way single bank LVS bug. Full SRAM still not functional. 8-way has DRC error.
2017-09-11 14:30:52 -07:00
Matt Guthaus
d17711c394
Fixed several LVS errors. Bank passes LVS for 2-way and 4-way, but not 1-way or 8-way.
2017-08-24 16:22:14 -07:00
Matt Guthaus
cf940fb15d
Development version of new pin data structure. Tests pass LVS/DRC except for bank level.
2017-08-23 15:02:15 -07:00
Matt Guthaus
857b997367
Modify LEF output to have all capital LAYER. Remove extra space before new lines.
2017-08-15 08:21:54 -07:00
Matt Guthaus
d77216d6dd
Fix LEF mismatch due to path/wire hierarchy change. Add characterizer feasible delay/slew check. Update delay tests with new delays.
2017-08-07 10:24:45 -07:00
Matt Guthaus
7ec20a72c8
Fix old unit test golden result
2017-07-06 14:16:02 -07:00
Matt Guthaus
20d8c0bc45
Improved characterizer.
2017-07-06 08:42:25 -07:00
mguthaus
e92cb9ecef
Removed array_type from ms_flop_array since it is extraneous code.
2017-07-03 12:08:50 -07:00
Matt Guthaus
8a821e13ac
Convert print to functional type call like Python 3. Perform error checking that requires Python >2.7 <3.0 for better error checking.
2017-06-12 15:02:48 -07:00
mguthaus
6e90bf0d6d
Enable output filename and path to be in config file. Command line will over-ride config file.
2017-06-12 14:37:15 -07:00
mguthaus
a840209c08
Fix unit tests to be DRC clean.
2017-06-07 10:29:53 -07:00
Matt Guthaus
93389ac723
Add test to reroute after route fails. Disable GDS route debug info unless verbosity is more than 0.
2017-06-07 10:10:18 -07:00
mguthaus
5960324ca6
Simplify sparse add for grid map.
2017-06-07 09:38:57 -07:00
mguthaus
c061b985ba
Fix missing map key check in blocked get/set.
2017-06-06 17:12:19 -07:00
Matt Guthaus
8b5e92e582
Merge branch 'master' of github.com:mguthaus/OpenRAM
2017-06-06 11:06:35 -07:00
Matt Guthaus
4e97e385e1
New lib file. Tolerances were off.
2017-06-06 11:06:16 -07:00
Matt Guthaus
d67a7149ab
Small fixes to last commit. Remove grid pin debug output. Remove extraneous function calls to add grids.
2017-06-05 15:46:50 -07:00
mguthaus
11bb105545
Mark inaccessible off-grid pins as blocked. Improve on-grid pin analysis, but not quite good enough yet.
2017-06-05 14:42:56 -07:00
mguthaus
16063cc9a0
Merge branch 'master' into router
2017-06-05 13:12:51 -07:00
Matt Guthaus
3e2b6e42d4
Merge branch 'router'
2017-06-05 09:08:17 -07:00
Matt Guthaus
d20ea65923
Fix lib test to enable spice simulation. Fixed bug with change in default argument.
2017-06-05 09:07:52 -07:00
Matt Guthaus
0acbf43908
Fix lib test to enable spice simulation. Fixed bug with change in default argument.
2017-06-05 09:03:51 -07:00
mguthaus
f32912f07c
Removed name option from some modules and autogenerate unique names. Added check to design class to prevent duplicate names by accident. Reduced diff file output verbosity.
2017-06-02 11:11:57 -07:00
Matt Guthaus
b18f0e9905
Moved TODO items to GitHub issues.
2017-05-31 15:47:01 -07:00
Matt Guthaus
384e169b5b
Modified unit tests: one for analytical model, one for characterization.
2017-05-31 14:59:22 -07:00
Matt Guthaus
367d4168ad
Merge branch 'master' into router
2017-05-31 14:04:31 -07:00
Matt Guthaus
d31b1862a3
Improved router debugging and return error if unable to route.
2017-05-31 13:59:49 -07:00
Matt Guthaus
8cc63560f8
Merge branch 'master' into router
2017-05-31 12:09:04 -07:00
Matt Guthaus
424c7b7e64
Made back-annotation and analytical modelling boolean options. Default is false.
2017-05-31 08:12:17 -07:00
Matt Guthaus
46c56863ee
Bin Wu fixed unit test to pass with analytical delay option
2017-05-31 08:01:42 -07:00
Matt Guthaus
34e180b901
Analytical delay model from Bin Wu. Unit test not passing.
2017-05-30 12:50:07 -07:00
Matt Guthaus
0fe104af66
Output labels in GDS for debug
2017-05-25 14:18:12 -07:00
Matt Guthaus
7e44d8762e
New algorithm for finding pins. Includes off-grid pin computation.
2017-05-25 10:37:24 -07:00
Matt Guthaus
dd9b9d73b8
Round pins smaller.
2017-05-24 16:09:43 -07:00
Matt Guthaus
4c0fb2d7d1
Add space around route end rectangles. Separate pin and blockage conversions.
2017-05-24 15:36:30 -07:00
Matt Guthaus
24cfed9fa8
Merge branch 'master' into router
2017-05-24 15:18:06 -07:00