sv2v/test/core
Zachary Snow 380c2b978a remove duplicate always_comb sensitivities 2025-05-18 17:04:23 -04:00
..
always_attr.sv always kw conversion visits items with attributes 2023-05-30 23:39:47 -04:00
always_attr.v always kw conversion visits items with attributes 2023-05-30 23:39:47 -04:00
always_comb.sv execute always_comb/latch at time zero 2023-12-26 09:21:19 -07:00
always_comb.sv.pat remove duplicate always_comb sensitivities 2025-05-18 17:04:23 -04:00
always_comb.v execute always_comb/latch at time zero 2023-12-26 09:21:19 -07:00
always_comb.vh remove duplicate always_comb sensitivities 2025-05-18 17:04:23 -04:00
always_latch.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
always_latch.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
always_latch_tb.v bump iverilog and use explicit named port connections in tests 2023-07-08 12:23:01 -04:00
always_prefix.sv generate explicit sensitivity lists when necessary 2022-05-10 11:29:33 -04:00
always_prefix.v execute always_comb/latch at time zero 2023-12-26 09:21:19 -07:00
always_prefix.vh execute always_comb/latch at time zero 2023-12-26 09:21:19 -07:00
always_prefix_tb.v generate explicit sensitivity lists when necessary 2022-05-10 11:29:33 -04:00
always_sense.sv execute always_comb/latch at time zero 2023-12-26 09:21:19 -07:00
always_sense.v execute always_comb/latch at time zero 2023-12-26 09:21:19 -07:00
always_sense.vh minor AlwaysKW coverage improvements 2023-06-10 23:52:17 -04:00
always_sense_tb.v minor AlwaysKW coverage improvements 2023-06-10 23:52:17 -04:00
always_spin.sv don't inline module-level constants into static prefixes 2022-10-22 18:02:54 -07:00
always_spin.v don't inline module-level constants into static prefixes 2022-10-22 18:02:54 -07:00
always_spin.vh don't inline module-level constants into static prefixes 2022-10-22 18:02:54 -07:00
ambiguous_tore.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
ambiguous_tore.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
array.sv partially bump iverilog 2024-02-11 19:04:48 -05:00
array.v partially bump iverilog 2024-02-11 19:04:48 -05:00
array_in_package.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
array_in_package.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
asgn_expr.sv support assignments within expressions 2022-03-12 23:57:17 +01:00
asgn_expr.v support assignments within expressions 2022-03-12 23:57:17 +01:00
asgn_sense_lhs.sv logic conversion ignores LHSs in procedural assignment senses 2022-02-15 17:49:50 +01:00
asgn_sense_lhs.v logic conversion ignores LHSs in procedural assignment senses 2022-02-15 17:49:50 +01:00
asgn_sense_lhs_tb.v logic conversion ignores LHSs in procedural assignment senses 2022-02-15 17:49:50 +01:00
assert.sv fix assertion removal in verbose mode causing orphaned statements 2021-09-24 12:36:49 -04:00
assert.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
assert_tb.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
bit.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
bit.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
bits_scope.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
bits_scope.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
case_inside_cast.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
case_inside_cast.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
case_violation_checks.sv Added `full_case` and `parallel_case` attributes (#274) 2024-03-10 23:45:01 -04:00
case_violation_checks.sv.pat Added `full_case` and `parallel_case` attributes (#274) 2024-03-10 23:45:01 -04:00
case_violation_checks.v Added `full_case` and `parallel_case` attributes (#274) 2024-03-10 23:45:01 -04:00
case_violation_checks_tb.v Added `full_case` and `parallel_case` attributes (#274) 2024-03-10 23:45:01 -04:00
cast.sv refactor sizing and truncation of integer literals 2021-08-09 22:10:29 -06:00
cast.v refactor sizing and truncation of integer literals 2021-08-09 22:10:29 -06:00
cast_conflict.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
cast_conflict.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
cast_literal.sv constant folding for size casts of number literals 2021-07-10 12:38:55 -04:00
cast_literal.v constant folding for size casts of number literals 2021-07-10 12:38:55 -04:00
cast_literal.vh don't sign-extend signed unsized numbers with a leading 1 bit 2024-05-01 09:07:31 -04:00
cast_nest.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
cast_nest.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
cast_nettype.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
cast_nettype.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
cast_nettype.vh split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
cast_procedure.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
cast_procedure.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
cast_struct_nested.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
cast_struct_nested.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
cast_top_item.sv fix cascaded generate block cast and scope resolution 2021-07-17 22:56:14 -04:00
cast_top_item.v fix cascaded generate block cast and scope resolution 2021-07-17 22:56:14 -04:00
cast_top_item_tb.v fix dev iverilog test incompatibilities 2022-02-15 15:38:16 +01:00
class_ident.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
class_ident.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
class_param_nest.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
class_param_nest.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
const.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
const.v convert logics with initial values to regs, not wires 2021-07-01 23:17:08 -04:00
constexpr.sv fix stringToInteger byte order 2023-12-07 23:43:19 -05:00
constexpr.v constant folding extensions 2022-12-24 01:12:50 -07:00
dangling_else.sv avoid dangling procedural else 2023-06-06 00:08:56 -04:00
dangling_else.v avoid dangling procedural else 2023-06-06 00:08:56 -04:00
data_lifetime.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
data_lifetime.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
decl_scope.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
decl_scope.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
default_nettype.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
default_nettype.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
delay.sv fix dev iverilog test incompatibilities 2022-02-15 15:38:16 +01:00
delay.v fix dev iverilog test incompatibilities 2022-02-15 15:38:16 +01:00
dimensions.sv fix broken two's complement logic 2021-08-08 21:36:36 -06:00
dimensions.v fix broken two's complement logic 2021-08-08 21:36:36 -06:00
do_while.sv convert do while loops 2022-03-11 11:32:54 +01:00
do_while.v convert do while loops 2022-03-11 11:32:54 +01:00
duplicate_cast.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
duplicate_cast.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
edge.sv support for edge event 2022-02-16 12:01:58 +01:00
edge.v support for edge event 2022-02-16 12:01:58 +01:00
edge_tb.v support for edge event 2022-02-16 12:01:58 +01:00
elab_task.sv convert severity and elaboration system tasks (#276) 2024-09-02 23:41:29 -04:00
elab_task.sv.pat convert severity and elaboration system tasks (#276) 2024-09-02 23:41:29 -04:00
elab_task.v convert severity and elaboration system tasks (#276) 2024-09-02 23:41:29 -04:00
empty_args.sv visit function args in empty args conversion 2023-11-04 11:36:08 -04:00
empty_args.v visit function args in empty args conversion 2023-11-04 11:36:08 -04:00
empty_args_hier.sv support hierarchical calls to functions with no inputs 2022-07-10 22:43:33 -04:00
empty_args_hier.v support hierarchical calls to functions with no inputs 2022-07-10 22:43:33 -04:00
empty_constructs.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
empty_constructs.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
end_labels.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
end_labels.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
enum.sv support for enumerated type ranges 2021-12-10 13:16:34 -07:00
enum.v support for enumerated type ranges 2021-12-10 13:16:34 -07:00
enum_dupe.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
enum_dupe.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
enum_dupe_tb.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
enum_int.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
enum_int.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
enum_scope.sv enum conversion to handles additional scope conflicts 2021-07-15 11:50:01 -04:00
enum_scope.v enum conversion to handles additional scope conflicts 2021-07-15 11:50:01 -04:00
enum_typedef_keep.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
enum_typedef_keep.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
for_decl.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
for_decl.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
for_incrs.sv improved handling of procedural for loops 2021-07-14 15:50:12 -04:00
for_incrs.v improved handling of procedural for loops 2021-07-14 15:50:12 -04:00
for_loop_inits.sv improved parsing in declaration contexts 2021-07-05 18:00:12 -04:00
for_loop_inits.v improved parsing in declaration contexts 2021-07-05 18:00:12 -04:00
foreach.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
foreach.v convert logics with initial values to regs, not wires 2021-07-01 23:17:08 -04:00
func_no_asgn.sv fix failed indirection of unassigned function results 2022-01-23 22:40:43 -07:00
func_no_asgn.v fix failed indirection of unassigned function results 2022-01-23 22:40:43 -07:00
function_implicit_dir.sv fix parsing of sized ports with implicit directions 2021-08-17 10:27:17 -06:00
function_implicit_dir.v fix parsing of sized ports with implicit directions 2021-08-17 10:27:17 -06:00
function_range_cast.sv explicit decl type and expr traversals 2021-07-11 16:57:33 -04:00
function_range_cast.v explicit decl type and expr traversals 2021-07-11 16:57:33 -04:00
function_ret_unpacked.sv handle functions with unpacked return typenames 2021-07-20 17:38:04 -04:00
function_ret_unpacked.v handle functions with unpacked return typenames 2021-07-20 17:38:04 -04:00
function_ret_unpacked_tb.v handle functions with unpacked return typenames 2021-07-20 17:38:04 -04:00
function_void.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
function_void.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
gate_array.sv support gate arrays 2024-12-12 09:01:20 -05:00
gate_array.v support gate arrays 2024-12-12 09:01:20 -05:00
gate_array_tb.v support gate arrays 2024-12-12 09:01:20 -05:00
generate_if_scope.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
generate_if_scope.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
header_import.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
header_import.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
implicit_net.sv additional test coverage 2023-05-14 00:54:22 -04:00
implicit_net.v additional test coverage 2023-05-14 00:54:22 -04:00
implicit_net_reset.sv handle resetall when creating implicit nets 2024-06-15 22:29:53 -04:00
implicit_net_reset.v handle resetall when creating implicit nets 2024-06-15 22:29:53 -04:00
import_no_reorder.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
import_no_reorder.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
inc.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
inc.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
input_int.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
input_int.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
input_int_tb.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
input_reg.sv translate input reg to input wire 2023-11-05 11:02:51 -05:00
input_reg.v translate input reg to input wire 2023-11-05 11:02:51 -05:00
input_reg_tb.v translate input reg to input wire 2023-11-05 11:02:51 -05:00
inside_exhaust.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
inside_exhaust.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
inside_exhaust.vh split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
inside_expr.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
inside_expr.v convert logics with initial values to regs, not wires 2021-07-01 23:17:08 -04:00
instance_array.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
instance_array.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
integer_array.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
integer_array.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_array.sv drop attributes attached to nested generate regions 2024-10-27 01:00:51 -04:00
interface_array.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_array_indirect.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_array_indirect.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_array_multi.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_array_multi.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_array_output.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_array_output.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_array_single.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_array_single.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_array_slice.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_array_slice.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_array_slice_tb.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_based_typedef.sv fix conversion of interface-based typedefs 2021-09-18 21:46:32 -04:00
interface_based_typedef.v fix conversion of interface-based typedefs 2021-09-18 21:46:32 -04:00
interface_based_typedef_delay.sv fix conversion of interface-based typedefs 2021-09-18 21:46:32 -04:00
interface_based_typedef_delay.v fix conversion of interface-based typedefs 2021-09-18 21:46:32 -04:00
interface_bundle.sv fix dev iverilog test incompatibilities 2022-02-15 15:38:16 +01:00
interface_bundle.v fix dev iverilog test incompatibilities 2022-02-15 15:38:16 +01:00
interface_check_extra.sv fix errant expr resolution flagging 2021-07-23 19:59:26 -04:00
interface_check_extra.v fix errant expr resolution flagging 2021-07-23 19:59:26 -04:00
interface_check_same.sv isolate interface name resolution checks 2022-07-17 20:32:56 -04:00
interface_check_same.v isolate interface name resolution checks 2022-07-17 20:32:56 -04:00
interface_delay_1.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_delay_1.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_delay_2.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_delay_2.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_func.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_func.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_generate.sv fix dev iverilog test incompatibilities 2022-02-15 15:38:16 +01:00
interface_generate.v bump iverilog and use explicit named port connections in tests 2023-07-08 12:23:01 -04:00
interface_generic.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_generic.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_genvar_shadow.sv assign a unique identifier to every genvar 2023-08-11 21:52:03 -04:00
interface_genvar_shadow.v assign a unique identifier to every genvar 2023-08-11 21:52:03 -04:00
interface_infer.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_infer.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_input_type.sv fix bare input signed declarations for inlined modules 2024-08-04 23:52:48 -04:00
interface_input_type.sv.pat remove extra space after signed in scalar types 2024-08-05 00:11:20 -04:00
interface_input_type.v fix bare input signed declarations for inlined modules 2024-08-04 23:52:48 -04:00
interface_modport.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_modport.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_modport_tb.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_module.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_module.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_name_shadow.sv disambiguate typenames and interface names 2023-08-09 22:45:52 -04:00
interface_name_shadow.v disambiguate typenames and interface names 2023-08-09 22:45:52 -04:00
interface_nested.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_nested.v convert logics with initial values to regs, not wires 2021-07-01 23:17:08 -04:00
interface_nested_array.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_nested_array.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_param.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_param.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_shadow.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_shadow.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_similar.sv add hierarchy path to inlined interface hash 2025-02-17 15:29:45 -05:00
interface_similar.v add hierarchy path to inlined interface hash 2025-02-17 15:29:45 -05:00
interface_star.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_star.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_struct.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_struct.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_struct_label.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_struct_label.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_struct_param.sv fix interface modport substitution strategy 2021-07-20 22:36:59 -04:00
interface_struct_param.v fix interface modport substitution strategy 2021-07-20 22:36:59 -04:00
interface_task.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_task.v convert logics with initial values to regs, not wires 2021-07-01 23:17:08 -04:00
interface_type_param.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_type_param.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_typeof.sv fix interface traversal of exprs in types, and support module-scoped modport refs 2021-09-18 21:01:28 -04:00
interface_typeof.v fix interface traversal of exprs in types, and support module-scoped modport refs 2021-09-18 21:01:28 -04:00
interface_unbound.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
interface_unbound.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
jump.sv fix dev iverilog test incompatibilities 2022-02-15 15:38:16 +01:00
jump.v fix dev iverilog test incompatibilities 2022-02-15 15:38:16 +01:00
kwargs.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
kwargs.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
large_mux.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
large_mux.v convert logics with initial values to regs, not wires 2021-07-01 23:17:08 -04:00
large_mux.vh split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
local_cast.sv fix cascaded generate block cast and scope resolution 2021-07-17 22:56:14 -04:00
local_cast.v fix cascaded generate block cast and scope resolution 2021-07-17 22:56:14 -04:00
localparamtype.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
localparamtype.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
log_op.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
log_op.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
logic_cond.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
logic_cond.v execute always_comb/latch at time zero 2023-12-26 09:21:19 -07:00
logic_cond_tb.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
logic_struct_select.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
logic_struct_select.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
logic_struct_select_tb.v bump iverilog and use explicit named port connections in tests 2023-07-08 12:23:01 -04:00
logic_tf.sv logic conversion handles tf output ports 2024-06-17 23:47:38 -04:00
logic_tf.v logic conversion handles tf output ports 2024-06-17 23:47:38 -04:00
multi_array_decl.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
multi_array_decl.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
multipack.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
multipack.v obey declaration order in reference output 2024-05-01 09:07:31 -04:00
multipack_delayed.sv multipack conversion visits exprs within types 2023-02-22 00:15:39 -05:00
multipack_delayed.v multipack conversion visits exprs within types 2023-02-22 00:15:39 -05:00
multipack_delayed_tb.v multipack conversion visits exprs within types 2023-02-22 00:15:39 -05:00
multipack_expr_in_lhs.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
multipack_expr_in_lhs.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
multipack_ident_scope.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
multipack_ident_scope.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
multipack_inline.sv fix multipack conversion type and expr traversal 2023-11-04 20:36:51 -04:00
multipack_inline.v fix multipack conversion type and expr traversal 2023-11-04 20:36:51 -04:00
multipack_port.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
multipack_port.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
multipack_struct_cast.sv fix conversion of casts to structs containing multidimensional fields 2022-06-19 21:55:19 -04:00
multipack_struct_cast.v fix conversion of casts to structs containing multidimensional fields 2022-06-19 21:55:19 -04:00
multipack_tb.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
named_block.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
named_block.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
named_genblk_cascade.sv fix cascaded generate block cast and scope resolution 2021-07-17 22:56:14 -04:00
named_genblk_cascade.v fix cascaded generate block cast and scope resolution 2021-07-17 22:56:14 -04:00
named_genblk_cascade_tb.v fix cascaded generate block cast and scope resolution 2021-07-17 22:56:14 -04:00
nest_order.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
nest_order.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
net_base_type.sv elaborate `wire integer` to `wire signed [31:0]` 2021-07-25 15:37:27 -04:00
net_base_type.v elaborate `wire integer` to `wire signed [31:0]` 2021-07-25 15:37:27 -04:00
net_or_var.sv properly distinguish nets and variables internally 2021-07-02 17:59:21 -04:00
net_or_var.v bump iverilog 2022-04-11 18:04:57 -06:00
net_or_var.vh properly distinguish nets and variables internally 2021-07-02 17:59:21 -04:00
no_default_param.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
no_default_param.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
non_ansi_port_decl.sv support for common non-ANSI style port declarations 2021-09-06 21:10:49 -04:00
non_ansi_port_decl.v support for common non-ANSI style port declarations 2021-09-06 21:10:49 -04:00
non_ansi_port_decl_order.sv support for common non-ANSI style port declarations 2021-09-06 21:10:49 -04:00
non_ansi_port_decl_order.v support for common non-ANSI style port declarations 2021-09-06 21:10:49 -04:00
non_ansi_port_decl_order_tb.v support for common non-ANSI style port declarations 2021-09-06 21:10:49 -04:00
non_ansi_port_decl_tf.sv apply port standardization to tasks and functions 2021-09-06 21:48:08 -04:00
non_ansi_port_decl_tf.v apply port standardization to tasks and functions 2021-09-06 21:48:08 -04:00
number_concat.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
number_concat.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
output_bound_reg.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
output_bound_reg.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
output_bound_reg_tb.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
output_implicit.sv default implicit output ports to logic 2021-07-27 17:20:09 -04:00
output_implicit.v default implicit output ports to logic 2021-07-27 17:20:09 -04:00
output_implicit_tb.v default implicit output ports to logic 2021-07-27 17:20:09 -04:00
package.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_constrain.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_constrain.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_constrain_tb.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_decl_init.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_decl_init.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_decl_reorder.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_decl_reorder.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_enum_1.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_enum_1.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_enum_2.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_enum_2.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_enum_3.sv partially bump iverilog 2024-02-11 19:04:48 -05:00
package_enum_3.v partially bump iverilog 2024-02-11 19:04:48 -05:00
package_enum_4.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_enum_4.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_enum_5.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_enum_5.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_export_first.sv small coverage improvements 2023-11-04 22:24:59 -04:00
package_export_first.v small coverage improvements 2023-11-04 22:24:59 -04:00
package_export_nothing.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_export_nothing.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_export_wildcard.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_export_wildcard.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_function_cast.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_function_cast.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_global.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_global.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_ident.sv don't process global items when looking up identifiers in packages 2021-07-19 12:33:11 -04:00
package_ident.v don't process global items when looking up identifiers in packages 2021-07-19 12:33:11 -04:00
package_implied.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_implied.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_order.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_order.v obey declaration order in reference output 2024-05-01 09:07:31 -04:00
package_param.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_param.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_param_tb.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_pattern.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_pattern.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_scope.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_scope.v streamline iverilog test wrapper 2021-08-01 16:38:41 -06:00
package_self_reference.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_self_reference.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_self_reference_import.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_self_reference_import.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_self_reference_shadow.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_self_reference_shadow.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_shadow.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_shadow.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_typedef.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_typedef.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_typedef_nested.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_typedef_nested.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
package_unique.sv check for conflicts with unused declarations 2022-07-18 21:05:21 -04:00
package_unique.v check for conflicts with unused declarations 2022-07-18 21:05:21 -04:00
packed_array.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
packed_array.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
param_list.sv specialized parsing for parameter port lists 2021-08-20 18:08:14 -06:00
param_list.v specialized parsing for parameter port lists 2021-08-20 18:08:14 -06:00
param_list_unpacked.sv specialized parsing for parameter port lists 2021-08-20 18:08:14 -06:00
param_list_unpacked.v specialized parsing for parameter port lists 2021-08-20 18:08:14 -06:00
param_shorthand.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
param_shorthand.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
param_typeof.sv support parameters which use a type-of as the data type 2021-08-18 13:33:40 -06:00
param_typeof.sv.pat remove extra space after signed in scalar types 2024-08-05 00:11:20 -04:00
param_typeof.v support parameters which use a type-of as the data type 2021-08-18 13:33:40 -06:00
param_typeof_tb.v support parameters which use a type-of as the data type 2021-08-18 13:33:40 -06:00
parameterized_data_types.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
parameterized_data_types.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
paramtype.sv fix inadvertent duplicate declaration generation 2021-07-12 13:44:56 -04:00
paramtype.v streamline iverilog test wrapper 2021-08-01 16:38:41 -06:00
paramtype_bits.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
paramtype_bits.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
paramtype_deep_nest.sv fix two paramtype edge cases 2021-07-22 00:58:19 -04:00
paramtype_deep_nest.v fix two paramtype edge cases 2021-07-22 00:58:19 -04:00
paramtype_delay.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
paramtype_delay.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
paramtype_expr.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
paramtype_expr.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
paramtype_hier.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
paramtype_hier.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
paramtype_param.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
paramtype_param.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
paramtype_param_default.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
paramtype_param_default.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
paramtype_recurse.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
paramtype_recurse.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
paramtype_stagger.sv fix two paramtype edge cases 2021-07-22 00:58:19 -04:00
paramtype_stagger.v fix two paramtype edge cases 2021-07-22 00:58:19 -04:00
paramtype_struct_default.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
paramtype_struct_default.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
part_select.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
part_select.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
part_select_bits.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
part_select_bits.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
pattern.sv fix conversion of signed struct fields accessed directly 2022-06-19 16:13:56 -04:00
pattern.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
pattern_resolve.sv special case typenames when resolving pattern identifiers 2023-11-04 13:31:09 -04:00
pattern_resolve.v special case typenames when resolving pattern identifiers 2023-11-04 13:31:09 -04:00
pattern_revised.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
pattern_revised.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
port_default.sv added input port default conversion 2025-02-23 18:08:20 -05:00
port_default.v added input port default conversion 2025-02-23 18:08:20 -05:00
port_typename.sv properly distinguish nets and variables internally 2021-07-02 17:59:21 -04:00
port_typename.v properly distinguish nets and variables internally 2021-07-02 17:59:21 -04:00
reorder.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
reorder.v obey declaration order in reference output 2024-05-01 09:07:31 -04:00
reorder_shadow.sv instances supply names during reordering 2022-07-17 20:58:36 -04:00
reorder_shadow.v instances supply names during reordering 2022-07-17 20:58:36 -04:00
run.sh split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
severity_task.sv convert severity and elaboration system tasks (#276) 2024-09-02 23:41:29 -04:00
severity_task.sv.pat convert severity and elaboration system tasks (#276) 2024-09-02 23:41:29 -04:00
severity_task.v convert severity and elaboration system tasks (#276) 2024-09-02 23:41:29 -04:00
shadow_recurse.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
shadow_recurse.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
sign_cast.sv refactor sizing and truncation of integer literals 2021-08-09 22:10:29 -06:00
sign_cast.v refactor sizing and truncation of integer literals 2021-08-09 22:10:29 -06:00
simple_loop_jump.sv simple for loop elaboration applies in more cases 2022-04-30 20:19:02 -06:00
simple_loop_jump.v simple for loop elaboration applies in more cases 2022-04-30 20:19:02 -06:00
simplify_func.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
simplify_func.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
size_cast.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
size_cast.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
stream.sv partially bump iverilog 2024-02-11 19:04:48 -05:00
stream.v partially bump iverilog 2024-02-11 19:04:48 -05:00
stream_exhaust.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
stream_exhaust.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
stream_exhaust_tb.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
stream_unit.sv simplify mod 1 to 0 2021-07-26 13:03:01 -04:00
stream_unit.v simplify mod 1 to 0 2021-07-26 13:03:01 -04:00
string.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
string.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
string_byte_order.sv fix stringToInteger byte order 2023-12-07 23:43:19 -05:00
string_byte_order.v fix stringToInteger byte order 2023-12-07 23:43:19 -05:00
string_byte_order.vh fix stringToInteger byte order 2023-12-07 23:43:19 -05:00
string_cast.sv handle size casts of string parameters 2021-07-10 21:39:22 -04:00
string_cast.v handle size casts of string parameters 2021-07-10 21:39:22 -04:00
string_cast_tb.v handle size casts of string parameters 2021-07-10 21:39:22 -04:00
string_param.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
string_param.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
string_param.vh split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
string_type.sv drop explicit string type from parameters 2023-01-07 18:27:25 -07:00
string_type.v drop explicit string type from parameters 2023-01-07 18:27:25 -07:00
string_type.vh drop explicit string type from parameters 2023-01-07 18:27:25 -07:00
struct_array.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_array.v partially bump iverilog 2024-02-11 19:04:48 -05:00
struct_array_field.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_array_field.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_array_inline.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_array_inline.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_array_param.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_array_param.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_array_tb.v refactor sizing and truncation of integer literals 2021-08-09 22:10:29 -06:00
struct_bit_struct.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_bit_struct.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_bit_struct_tb.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_cast_dot.sv fix early conversion cast struct types 2024-12-14 11:08:11 -05:00
struct_cast_dot.v fix early conversion cast struct types 2024-12-14 11:08:11 -05:00
struct_const.sv additional test coverage 2023-05-14 00:54:22 -04:00
struct_const.v additional test coverage 2023-05-14 00:54:22 -04:00
struct_default.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_default.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_hier_bit.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_hier_bit.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_hier_nocast.sv avoid unnecessary casts on substituted hierarchical constants 2021-07-24 17:01:17 -04:00
struct_hier_nocast.v avoid unnecessary casts on substituted hierarchical constants 2021-07-24 17:01:17 -04:00
struct_hier_shadow.sv avoid excessive scoping of top-level variables 2024-12-11 08:51:39 -05:00
struct_hier_shadow.v invert expression scoping application order 2024-12-11 08:51:29 -05:00
struct_ident_scope.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_ident_scope.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_integer.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_integer.v convert logics with initial values to regs, not wires 2021-07-01 23:17:08 -04:00
struct_nested_cast.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_nested_cast.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_param.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_param.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_part_select.sv streamline iverilog test wrapper 2021-08-01 16:38:41 -06:00
struct_part_select.v streamline iverilog test wrapper 2021-08-01 16:38:41 -06:00
struct_part_select_param.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_part_select_param.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_pattern_cast.sv fix inline explicit struct casts 2023-11-04 17:31:11 -04:00
struct_pattern_cast.v fix inline explicit struct casts 2023-11-04 17:31:11 -04:00
struct_scope.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_scope.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_shadow.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_shadow.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_tern.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_tern.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_tern_tb.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_unit_array.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
struct_unit_array.v convert logics with initial values to regs, not wires 2021-07-01 23:17:08 -04:00
subroutine.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
subroutine.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
task_implicit_dir.sv apply implicit port directions to tasks and functions 2021-08-15 12:25:32 -07:00
task_implicit_dir.v apply implicit port directions to tasks and functions 2021-08-15 12:25:32 -07:00
tf_block.sv drop tf block edge case 2023-05-14 23:18:58 -04:00
tf_block.v drop tf block edge case 2023-05-14 23:18:58 -04:00
tf_unpacked_input.mem don't pack arrays passed to system tasks 2021-07-10 19:42:06 -04:00
tf_unpacked_input.sv don't pack arrays passed to system tasks 2021-07-10 19:42:06 -04:00
tf_unpacked_input.v don't pack arrays passed to system tasks 2021-07-10 19:42:06 -04:00
time.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
time.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
top_tf.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
top_tf.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
trailing_commas.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
trailing_commas.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
typedef_ident_scope.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
typedef_ident_scope.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
typedef_scope.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
typedef_scope.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
typename_cast.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
typename_cast.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
typename_deep.sv stronger typename checks 2023-08-13 15:17:21 -04:00
typename_deep.svh stronger typename checks 2023-08-13 15:17:21 -04:00
typename_deep.v stronger typename checks 2023-08-13 15:17:21 -04:00
typename_lhs_nest.sv visit nested LHSs in enum, typedef, and typeof conversions 2024-09-01 20:39:58 -04:00
typename_lhs_nest.v visit nested LHSs in enum, typedef, and typeof conversions 2024-09-01 20:39:58 -04:00
typeof.sv support for attributes in unary, binary, and ternary expressions 2023-07-31 22:52:28 -04:00
typeof.v support for attributes in unary, binary, and ternary expressions 2023-07-31 22:52:28 -04:00
typeof_alias.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
typeof_alias.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
typeof_port.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
typeof_port.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
typeof_port_tb.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
typeof_signed.sv fix conversion of signed struct fields accessed directly 2022-06-19 16:13:56 -04:00
typeof_signed.v fix conversion of signed struct fields accessed directly 2022-06-19 16:13:56 -04:00
unbased_unsized.sv support for attributes in unary, binary, and ternary expressions 2023-07-31 22:52:28 -04:00
unbased_unsized.sv.pat remove extra space after signed in scalar types 2024-08-05 00:11:20 -04:00
unbased_unsized.v support for attributes in unary, binary, and ternary expressions 2023-07-31 22:52:28 -04:00
unbased_unsized_default.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
unbased_unsized_default.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
unbased_unsized_function.sv unbased unsized conversion inlines module stubs 2021-07-29 19:54:20 -04:00
unbased_unsized_function.v unbased unsized conversion inlines module stubs 2021-07-29 19:54:20 -04:00
unbound_port.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
unbound_port.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
union.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
union.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
union_tb.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
uniop_prec.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
uniop_prec.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
uniop_prec_tb.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
unnamed_block_shadow.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
unnamed_block_shadow.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
unnamed_genblk_zeroes.sv handle naming and scoping of unnamed generate blocks 2021-06-30 14:24:35 -04:00
unnamed_genblk_zeroes.v handle naming and scoping of unnamed generate blocks 2021-06-30 14:24:35 -04:00
unneeded_scope.sv drop unneeded module-scoped references 2022-10-29 13:22:53 -04:00
unneeded_scope.sv.pat drop unneeded module-scoped references 2022-10-29 13:22:53 -04:00
unneeded_scope.v drop unneeded module-scoped references 2022-10-29 13:22:53 -04:00
unpacked_array_depth.sv fix partial packing of multidimensional unpacked arrays 2021-07-05 18:20:41 -04:00
unpacked_array_depth.v fix partial packing of multidimensional unpacked arrays 2021-07-05 18:20:41 -04:00
unpacked_localparam.sv handle params with unpacked typenames 2021-07-21 11:57:04 -04:00
unpacked_localparam.v handle params with unpacked typenames 2021-07-21 11:57:04 -04:00
unsigned.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
unsigned.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
unused_imports.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
unused_imports.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
wire_reg.sv split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00
wire_reg.v split out basic suite tests with refs to new core suite 2021-06-25 11:21:47 -06:00