mirror of https://github.com/zachjs/sv2v.git
small coverage improvements
This commit is contained in:
parent
cd7b53c658
commit
6ffa31ff9a
|
|
@ -52,7 +52,7 @@ traverseDescriptionM other = return other
|
|||
|
||||
-- utility pattern for candidate string parameter items
|
||||
pattern StringParam :: Identifier -> String -> ModuleItem
|
||||
pattern StringParam x s =
|
||||
pattern StringParam x s <-
|
||||
MIPackageItem (Decl (Param Parameter UnknownType x (String s)))
|
||||
|
||||
-- write down which parameters may be variable-length strings
|
||||
|
|
|
|||
|
|
@ -0,0 +1,10 @@
|
|||
package P;
|
||||
localparam X = 1;
|
||||
endpackage
|
||||
package Q;
|
||||
export P::X;
|
||||
import P::X;
|
||||
endpackage
|
||||
module top;
|
||||
initial $display(Q::X);
|
||||
endmodule
|
||||
|
|
@ -0,0 +1,3 @@
|
|||
module top;
|
||||
initial $display(1);
|
||||
endmodule
|
||||
|
|
@ -0,0 +1,4 @@
|
|||
module top;
|
||||
// 😬
|
||||
initial $display("Hi!");
|
||||
endmodule
|
||||
|
|
@ -1,5 +1,7 @@
|
|||
module top;
|
||||
|
||||
export "DPI-C" task t;
|
||||
|
||||
/* From IEEE 1800-2017 Section 35.4 */
|
||||
|
||||
export "DPI-C" f_plus = function \f+ ; // "f+" exported as "f_plus"
|
||||
|
|
|
|||
|
|
@ -0,0 +1 @@
|
|||
extern module foo(input x, output y);
|
||||
Loading…
Reference in New Issue