Wilson Snyder
7139c9ae59
Fix and document --gdb option, bug454.
2012-03-09 18:37:38 -05:00
Wilson Snyder
fe456cb83d
Devel version
2012-03-07 23:02:24 -05:00
Wilson Snyder
a8432ed4a4
Version bump
2012-03-07 22:50:13 -05:00
Wilson Snyder
b73642f8a8
Report ENDLABEL on mismatching end labels, bug450.
2012-03-07 20:14:18 -05:00
Wilson Snyder
03dfbdb7b1
Fix inheriting signed type across untyped parameters.
2012-03-03 21:03:34 -05:00
Wilson Snyder
3c8519f245
Fix inheriting real type across untyped parameters.
2012-03-03 16:29:06 -05:00
Wilson Snyder
764399256c
Internals: Rename gdb dump utilities, add fileline dump
2012-03-03 11:29:09 -05:00
Wilson Snyder
f540362e36
Fix expansion of back-slashed escaped macros, bug441.
2012-02-25 21:31:36 -05:00
Wilson Snyder
09c10492ab
Fix hang on recursive substitution `defines, bug443.
2012-02-23 21:54:37 -05:00
Wilson Snyder
0de7cece5b
Fix hang when functions inside begin block.
2012-02-21 21:25:11 -05:00
Wilson Snyder
7e9dda3c5e
Fix false command not found warning in Makefiles.
2012-02-16 07:17:08 -05:00
Wilson Snyder
bca5d26583
Backout ca927fe5; snps isn't supported in DC.
2012-02-10 22:36:19 -05:00
Wilson Snyder
ca927fe587
Support snps directives
2012-02-02 10:26:45 -05:00
Wilson Snyder
9df8966f4a
Support arrayed SystemC I/O pins.
2012-02-01 20:20:43 -05:00
Wilson Snyder
0c7c4924ee
Fix core dump with over 100 deep UNOPTFLAT, bug432.
2012-01-26 20:20:23 -05:00
Wilson Snyder
af9e85bda1
Fix memory delayed assignments from multiple clock domains.
2012-01-26 08:10:50 -05:00
Wilson Snyder
717f45d117
Devel version
2012-01-25 19:23:58 -05:00
Wilson Snyder
a1d1d6d3d4
Version bump
2012-01-20 07:02:39 -05:00
Wilson Snyder
d17f812827
Fix BLKSEQ warnings on variables declared inside always.
2012-01-19 21:15:21 -05:00
Wilson Snyder
2396181bc5
Add SYSTEMC_INCLUDE and SYSTEMC_LIBDIR
2012-01-19 20:30:41 -05:00
Wilson Snyder
c2c7c7bd9a
Copyright year update
2012-01-15 10:26:28 -05:00
Wilson Snyder
362d642c87
Support "generate for (genvar i=0; ...".
2011-11-29 18:23:18 -05:00
Wilson Snyder
e4c96d5be5
Fix array of instantiations with sub-range output, bug414.
2011-11-28 22:10:43 -05:00
Wilson Snyder
f488701adc
Fix dpi exports with > 32 bit but < 64 bit args, bug423.
2011-11-28 21:15:57 -05:00
Wilson Snyder
06b796c6bd
Suppress VARHIDDEN on dpi import arguments.
2011-11-27 12:03:22 -05:00
Wilson Snyder
4100f20a69
Version bump
2011-11-27 10:37:23 -05:00
Wilson Snyder
b9e67157c6
With "--language VAMS" support a touch of Verilog AMS.
2011-11-25 00:49:38 -05:00
Wilson Snyder
b30b2a183b
Support .
2011-11-20 02:01:48 -05:00
Wilson Snyder
9ab3bcdde3
Support with %g.
2011-11-20 01:01:02 -05:00
Wilson Snyder
5df3bbe188
Fix $display with %d following %g.
2011-11-20 00:45:59 -05:00
Wilson Snyder
b7c2c83c88
Fix bad result with if-else-return optimization, bug420.
2011-11-12 08:07:30 -05:00
Wilson Snyder
88a2b0b911
Support constants in sensitivity lists, bug412.
2011-11-02 18:34:17 -04:00
Wilson Snyder
7654add5e5
Indicate 'exiting due to errors' if errors, not warnings.
2011-10-31 21:39:15 -04:00
Wilson Snyder
85a37ea53f
Search for user -y paths before default current directory.
2011-10-28 18:57:40 -04:00
Wilson Snyder
fb9ca54c95
Fix reporting not found modules if generate-off, bug403.
2011-10-27 20:56:38 -04:00
Wilson Snyder
e378cc5791
Add sc_bv attribute to force bit vectors, bug402.
2011-10-26 08:57:27 -04:00
Wilson Snyder
748c189c79
Devel version
2011-10-25 19:11:45 -04:00
Wilson Snyder
b89be6c4b9
Version bump
2011-10-25 18:59:40 -04:00
Wilson Snyder
df207807b6
Add ASSIGNIN as suppressable error.
2011-10-25 18:57:49 -04:00
Wilson Snyder
1f2b40cff1
Fix 3.823 constructor core dump on Debian, bug401.
2011-10-25 18:41:04 -04:00
Wilson Snyder
734400fd37
Fix version number mistake
2011-10-25 18:11:06 -04:00
Wilson Snyder
f19979d928
Fix "always @ (* )", bug403, bug404.
2011-10-25 18:08:24 -04:00
Wilson Snyder
7737aa20e8
Version bump
2011-10-20 21:13:42 -04:00
Wilson Snyder
0aa7c3f659
Add -Wall reporting ASSIGNDLY on assignment delays.
2011-10-20 20:50:42 -04:00
Wilson Snyder
beb7c58375
Fix DPI import false BLKSEQ warnings.
2011-10-07 20:04:15 -04:00
Wilson Snyder
e080b9d565
Fix DPI import output of 64 bits, bug398.
2011-10-07 19:47:10 -04:00
Wilson Snyder
ca2db37039
Fix UNDRIVEN warnings inside DPI import functions.
2011-10-07 08:29:34 -04:00
Wilson Snyder
194825f78e
Add configure options for cc warnings and extended tests.
2011-10-07 06:48:40 -04:00
Wilson Snyder
b03d61e2cf
Use EXEEXT to fix make warning
2011-10-05 17:07:10 -04:00
Wilson Snyder
7c2fdd1ee9
Fix --help output to go to stderr, not stdout, bug397.
2011-10-04 10:08:16 -04:00
Wilson Snyder
20847a3ff5
Fix autoconf warnings, bug396.
2011-10-04 08:48:37 -04:00
Wilson Snyder
eb6d42acf9
Support $ceil, $floor, etc.
2011-09-28 21:35:26 -04:00
Wilson Snyder
094d676a8b
Fix MSVC compile warning with trunc/round, bug394.
2011-09-21 09:08:05 -04:00
Wilson Snyder
8bbfc7fc22
Version bump
2011-09-14 21:12:40 -04:00
Wilson Snyder
19baba12b1
Commentary
2011-09-14 21:11:14 -04:00
Wilson Snyder
3fae8ade05
Fix PowerPC runtime error, bug288
2011-08-22 21:02:09 -04:00
Wilson Snyder
f9bbf31d65
Fix internal error on integer casts, bug374.
2011-08-09 19:56:22 -04:00
Wilson Snyder
ad53833040
Version bump
2011-07-28 19:53:54 -04:00
Wilson Snyder
bc8c85668a
Support coverage in -cc and -sc output modes.
2011-07-28 19:41:05 -04:00
Wilson Snyder
6d2f09b579
Fix vpi_register_cb using bad s_cb_data, bug370.
2011-07-27 13:03:49 -04:00
Wilson Snyder
55906486d8
Support 'real' numbers and related functions.
2011-07-24 15:01:51 -04:00
Wilson Snyder
698aaffb0b
Fix missing leading zeros in %0d, bug367
2011-07-14 07:39:11 -04:00
Wilson Snyder
696660639a
Support 'const' variables in limited cases; similar to enums.
2011-07-02 12:45:26 -04:00
Wilson Snyder
a901e171b2
Support $fopen and I/O with integer instead of `verilator_file_descriptor.
2011-07-01 13:41:21 -04:00
Wilson Snyder
6e41d532fe
Use 'vluint64_t' for SystemC instead of (same sized) 'uint64' for MSVC++.
2011-06-29 19:19:01 -04:00
Wilson Snyder
8137f41fc3
Support disable for loop escapes.
2011-06-28 21:26:49 -04:00
Wilson Snyder
344248cea0
Version bump
2011-06-28 20:52:04 -04:00
Wilson Snyder
2789e3dba5
Fix 96 bit DPI input/outputs, bug359
2011-06-28 20:45:50 -04:00
Wilson Snyder
4f7b3d8882
Fix out of memory on slice syntax error, bug354.
2011-05-21 08:19:33 -04:00
Wilson Snyder
2b330b78b7
Support bit vectors > 64 bits wide in DPI import and exports.
2011-05-20 21:33:31 -04:00
Wilson Snyder
fb85679068
Fix DPI bit vector compile errors, bug347.
2011-05-12 07:35:28 -04:00
Wilson Snyder
4af0af93bf
Fix DPI undeclared svBitVecVal compile error, bug346.
2011-05-12 06:32:29 -04:00
Wilson Snyder
250394f72b
Fix compiler warnings on SPARC, bug288
2011-05-09 23:49:17 -04:00
Wilson Snyder
9a96f621ef
Fix CDCRSTLOGIC report showing endpoint flops without resets.
2011-04-18 10:47:02 -04:00
Wilson Snyder
5d7ce096c6
Fix error on enum references to other packages, bug339.
2011-04-13 19:34:14 -04:00
Wilson Snyder
a20b4f2864
Version bump
2011-04-06 07:33:44 -04:00
Wilson Snyder
fb70a1f4ab
Add --Wno-fatal to turn off abort on warnings.
2011-03-22 18:09:39 -04:00
Wilson Snyder
df0aa483ce
Support ${...} and $(...) env variables in .vc files
2011-03-21 12:25:31 -04:00
Wilson Snyder
c83f12a55c
Support simple cast operators, bug335.
2011-03-17 22:25:49 -04:00
Wilson Snyder
2923893d34
Support loop unrolling on width mismatches, bug 333
2011-03-12 07:45:04 -05:00
Wilson Snyder
ea75290f65
Add error on circular parameter definitions, bug329
2011-03-07 20:44:19 -05:00
Wilson Snyder
a176054118
Add --trace-max-width and --trace-max-array, bug 319.
2011-02-23 21:58:27 -05:00
Wilson Snyder
9a697dc5f5
Accelerate bit-selected inversions.
2011-02-23 21:36:38 -05:00
Wilson Snyder
cfdb852843
Fix concatenates and vectored bufif1, bug326.
2011-02-23 21:21:59 -05:00
Wilson Snyder
2e67a91982
Support $bits(data_type), bug327.
2011-02-23 19:04:15 -05:00
Wilson Snyder
40d961e059
Version bump
2011-02-14 19:05:55 -05:00
Wilson Snyder
e5de759236
Report error on function call output tied to constant.
...
Fix internal error on functions called as SV tasks.
2011-02-14 19:25:30 -05:00
Wilson Snyder
e26a75c59d
Fix internal error on non-inlined inout pins.
2011-02-07 19:15:58 -05:00
Wilson Snyder
8701145b40
Fix error on constants connected to outputs, bug323.
2011-01-31 07:05:04 -05:00
Wilson Snyder
a2558886c8
Report errors on empty pins, bug321
2011-01-29 18:00:48 -05:00
Wilson Snyder
f818ee86ae
Report errors on duplicated pins, bug321.
2011-01-29 17:01:06 -05:00
Wilson Snyder
a435ae98f9
Throw UNUSED/UNDRIVEN only once per net in a parametrized module.
2011-01-18 21:28:51 -05:00
Wilson Snyder
8287cf35e7
Fix warnings to point to lowest net usage, not upper level ports.
2011-01-17 14:10:01 -05:00
Wilson Snyder
fab7924cc2
Fix block comment not separating identifiers, bug311.
2011-01-11 18:46:21 -05:00
Wilson Snyder
0ab739e8b1
Fix false BLKSEQ on non-unrolled for loop indexes.
2011-01-06 06:46:19 -05:00
Wilson Snyder
2dcd4d171b
Version bump
2011-01-03 13:32:16 -05:00
Wilson Snyder
71c1f00ec2
Copyright year update
2011-01-01 18:21:19 -05:00
Wilson Snyder
4afcb421bd
With --Wall, add BLKSEQ warning on blocking assignments in seq blocks.
2010-12-31 18:36:29 -05:00
Wilson Snyder
8d21917035
Add SYNCASYNCNET
2010-12-31 07:51:14 -05:00
Wilson Snyder
c33299d542
Add UNUSED and UNDRIVEN warnings
2010-12-29 08:06:05 -05:00
Wilson Snyder
850de5913d
With --Wall, add IFDEPTH warning on deep if statements.
2010-12-26 09:31:09 -05:00
Wilson Snyder
3e4e8feb29
With --Wall, add DECLFILENAME warning on modules not matching filename.
2010-12-25 16:31:22 -05:00
Wilson Snyder
fedf347b1a
With --Wall, add INCABSPATH warning on `include with absolute paths.
2010-12-25 15:50:07 -05:00
Wilson Snyder
285277a50b
Add -Wall; move VARHIDDEN to style warnings
2010-12-25 15:28:13 -05:00
Wilson Snyder
323c96f42f
Add -Wwarn-style, -Wno-style, and DEFPARAM warnings
2010-12-25 15:13:56 -05:00
Wilson Snyder
7dee344ea9
Add limited support for VPI access to public signals, see docs.
2010-12-25 14:39:41 -05:00
Wilson Snyder
d6ac5e5001
Support ++,--,+= etc as standalone statements.
2010-12-07 20:18:47 -05:00
Wilson Snyder
d13e6c73db
When running with VERILATOR_ROOT, optionally find binaries under bin.
2010-12-07 11:43:43 -05:00
Wilson Snyder
cfd07ccd34
Suppress WIDTH warnings when adding/subtracting 1'b1.
2010-12-02 14:00:43 -05:00
Wilson Snyder
eeb8fc2626
Add -F option to read relative option files, bug297.
2010-11-03 07:21:34 -04:00
Wilson Snyder
3d1baf3f2f
Version bump
2010-11-02 21:11:02 -04:00
Wilson Snyder
56c7c1bcc8
Add warning when directory contains spaces, msg378
2010-10-28 09:51:36 -04:00
Wilson Snyder
f88e57ddb8
Fix DPI export name not found, msg369.
2010-10-22 13:10:31 -04:00
Wilson Snyder
9d98e012e4
Fix segfault on SystemVerilog "output wire foo=0", bug291.
2010-10-04 07:48:09 -04:00
Wilson Snyder
d305a774f0
Fix wrong filename on include file errors, bug289
2010-09-28 09:33:59 -04:00
Wilson Snyder
ed2fbd8f25
Version bump
2010-09-20 15:46:17 -04:00
Wilson Snyder
42199bc8e5
Fix preprocessor `` of existing base define, bug283.
2010-09-20 15:20:16 -04:00
Wilson Snyder
aba25aab19
On core dump, print debug suggestions.
2010-09-20 09:21:29 -04:00
Wilson Snyder
f99bd33f91
Support tracing/coverage of underscore signals, bug280.
2010-08-29 19:28:46 -04:00
Wilson Snyder
f4908a9758
Increase define recursions before error
2010-08-10 11:18:53 -04:00
Wilson Snyder
5e4ca4b76a
Version bump
2010-07-10 18:41:23 -04:00
Wilson Snyder
1e938d0e90
Update preprocessor to match next Verilog-Perl version.
...
Fix preprocessor preservation of newlines across macro substitutions.
Fix preprocessor stringification of nested macros.
Fix preprocessor whitespace on define arguments
2010-07-10 18:30:16 -04:00
Wilson Snyder
a320c4584e
Fix do {...} while() not requiring final semicolon.
2010-07-07 19:15:51 -04:00
Wilson Snyder
06967c0c46
Fix some constant parameter functions causing crash, bug253.
2010-05-25 19:37:45 -04:00
Wilson Snyder
44b6c371db
Version bump
2010-05-01 14:18:56 -04:00
Wilson Snyder
00970be996
Fix bit reductions on multi-packed dimensions, bug227/patch0004.
2010-04-22 09:40:53 -04:00
Wilson Snyder
aca4ab015f
Fix removing if assigned to unused var, bug248.
2010-04-19 19:38:22 -04:00
Wilson Snyder
ed17581f92
Fix loop unroller out of memory; change --unroll-stmts.
2010-04-17 08:01:22 -04:00
Wilson Snyder
ef51de72c9
Fix word size to match uint64_t on -m64 systems, bug238.
2010-04-09 21:51:15 -04:00
Byron Bradley
2525b3fb05
Fix bit reductions on multi-packed dimensions, bug227
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2010-04-09 21:05:46 -04:00
Wilson Snyder
83fff41312
Fix installing data files as non-executable, bug168.
2010-04-09 20:21:00 -04:00
Wilson Snyder
f8eabbc100
From Verilog-Perl: Fix parsing single files > 2GB.
2010-04-06 20:20:44 -04:00
Wilson Snyder
bc31b5d27a
Commentary
2010-04-05 20:08:07 -04:00
Wilson Snyder
936738b750
Add /*verilator public_flat_rw*/ for timing-specific public access.
2010-04-05 20:01:17 -04:00
Wilson Snyder
7c3048ab9c
Report errors when extra underscores used in meta-comments.
2010-03-24 22:08:59 -04:00
Wilson Snyder
495585830d
Fix trace files with empty modules crashing some viewers.
2010-03-22 18:38:24 -04:00
Wilson Snyder
6715cb9880
Improve error handling on slices of arrays, bug226.
2010-03-20 21:29:16 -04:00
Wilson Snyder
bcea39a858
Fix "make install" with configure outside srcdir.
2010-03-17 20:20:40 -04:00
Wilson Snyder
ba93a08b40
Support runtime access to public signal names
2010-03-17 08:22:49 -04:00
Wilson Snyder
6ef44a6fbb
Version bump
2010-03-17 07:59:12 -04:00
Wilson Snyder
e57d004718
Fix clock-gates with non-AND complex logic, bug220.
2010-03-16 18:50:26 -04:00
Wilson Snyder
d780d0aabb
Fix flushing VCD buffers on .
2010-03-12 20:00:08 -05:00
Wilson Snyder
381972c923
Fix Mac OS-X compile issues, bug217.
2010-02-26 19:50:44 -05:00
Wilson Snyder
f1b6c0c559
Support "`default_nettype none|wire".
2010-02-23 09:27:16 -05:00
Wilson Snyder
e39eddf3fe
Fix parametrized defines with empty arguments.
2010-02-21 07:20:39 -05:00
Wilson Snyder
cdd06e7236
Support "break", "continue", "return".
2010-02-14 10:01:21 -05:00
Wilson Snyder
63f30492be
Skip SystemC tests if not installed.
2010-02-08 20:51:43 -05:00
Wilson Snyder
0e8772290d
Fix make uninstall, bug216
2010-02-08 09:28:40 -05:00
Wilson Snyder
716f237c4c
Version bump
2010-02-07 07:01:13 -05:00
Wilson Snyder
b85e7ab0b1
Commentary
2010-02-06 20:00:13 -05:00
Wilson Snyder
8dca56521b
Fix MinGW compilation printing %lls, bug214
2010-02-01 09:28:53 -05:00
Wilson Snyder
c49e0ac5c8
Add -CFLAGS, -LDFLAGS, <file>.a, <file>.o, and <file>.so options.
2010-01-28 19:33:02 -05:00
Wilson Snyder
4df9d70e4a
Support 1800-2009 /*comments*/ in define values. Match Verilog-Perl
2010-01-28 09:41:24 -05:00
Wilson Snyder
11e702c430
SystemPerl is no longer required for tracing.
...
Applications must use VerilatedVcdC class in place of SpTraceVcdC.
2010-01-24 18:37:01 -05:00
Wilson Snyder
b0f431e1a2
Fix MSVC++ 2008 compile issues, bug209.
2010-01-24 07:52:43 -05:00
Wilson Snyder
58efab5cdf
Add SystemVerilog 1800-2009 keywords
2010-01-21 21:58:45 -05:00
Wilson Snyder
c695af31b8
Add experimental config files to filter warnings outside of the source.
2010-01-21 06:11:30 -05:00
Wilson Snyder
6196cf09ff
Add experimental --pipe-filter to filter all Verilog input.
2010-01-20 07:15:51 -05:00
Wilson Snyder
d2bce042a5
Fix for variable typing, bug205.
2010-01-19 19:27:36 -05:00
Wilson Snyder
bded8755a1
Support multi-dimensional arrays as inputs/outputs
2010-01-19 13:18:40 -05:00
Wilson Snyder
89e03a86b7
Support assignments of multidimensional slices, bug170
2010-01-19 10:52:11 -05:00
Wilson Snyder
d651063749
Fix Cygwin 1.7.x compiler error with uint32_t, bug204.
2010-01-16 11:53:08 -05:00
Wilson Snyder
e40b5819bd
Speed compiles by avoiding including the STL iostream header.
2010-01-14 21:03:06 -05:00
Wilson Snyder
d1cb3b0d15
Fix duplicate implicit variables under generates, bug201
2010-01-11 12:36:12 -05:00
Wilson Snyder
c1fc629c54
Fix lint_off/lint_on pairs on same line as warning
2010-01-09 12:33:01 -05:00
Wilson Snyder
0c4e40102f
Make duplicate modules a MODDUP error that can be disabled
2010-01-07 20:25:54 -05:00
Wilson Snyder
27e8126b18
Cdc commentary
2010-01-07 18:51:52 -05:00
Wilson Snyder
bf860b21d7
Clock domain crossing checks
2010-01-07 16:41:19 -05:00
Wilson Snyder
729dfdfed7
Copyright year update
2010-01-05 21:15:06 -05:00
Wilson Snyder
dbce06500d
Support enums
2009-12-27 08:29:55 -05:00
Wilson Snyder
7a81dd3378
Fix tracing with --pins-bv 1, bug195
2009-12-25 10:01:55 -05:00
Wilson Snyder
e7cbefa316
Support 1800-2009 defines with default arguments.
2009-12-24 11:33:39 -05:00
Wilson Snyder
9b0d26aedd
Support `undefineall
2009-12-20 22:26:48 -05:00
Wilson Snyder
ef3ed6e338
Support DPI exports
2009-12-20 08:27:00 -05:00
Wilson Snyder
934dc842e0
Add --bbox-unsup option to black-box unsupported UDP tables.
2009-12-16 11:45:28 -05:00
Wilson Snyder
6a2aa7e4f0
Fix creating implicit variables for expressions, bug196.
2009-12-08 18:29:24 -05:00
Wilson Snyder
a40fae04ce
Support direct programming interface (DPI) "import".
2009-12-03 06:55:29 -05:00
Wilson Snyder
f8cb6979d7
Add Makefile VM_GLOBAL_FAST, listing objects needed to link executables.
...
Add additional commentary to makefiles and other output files.
2009-12-02 21:15:56 -05:00
Wilson Snyder
d2a27a84cf
Support chandle
2009-11-24 09:11:25 -05:00
Wilson Snyder
c7d8eb126f
Support and .
2009-11-23 21:24:55 -05:00
Wilson Snyder
af5aa38bc3
Fix `define argument mis-replacing system task of same name, bug191.
2009-11-23 14:26:04 -05:00
Wilson Snyder
62f707f501
Support $test$plusargs and $value$plusargs, but see the docs.
2009-11-19 17:04:21 -05:00
Wilson Snyder
19d62b7a68
Support 'time'.
2009-11-19 10:45:59 -05:00
Wilson Snyder
3fc55bba8e
Fix MinGW compilation, bug184.
2009-11-13 11:08:30 -05:00
Wilson Snyder
736b9074c8
Support for loop i++, ++i, i--, --i, bug175.
2009-11-10 16:40:07 -05:00
Wilson Snyder
376147911f
Support optional cell parenthesis, bug179
2009-11-10 16:29:58 -05:00
Wilson Snyder
82d917c2c5
Fix Verilator core dump on wide integer divides, bug178.
2009-11-10 10:44:50 -05:00
Wilson Snyder
67d1dad77c
Support declarations in loop initializers, bug172.
2009-11-09 19:09:27 -05:00
Wilson Snyder
3b39c3391d
Support "import".
2009-11-09 19:07:59 -05:00
Wilson Snyder
68567e763c
Support "package" and $unit.
...
Add VARHIDDEN warning when signal name hides module name.
2009-11-07 21:05:02 -05:00
Wilson Snyder
377f194837
Support typedef
2009-11-06 23:16:06 -05:00
Wilson Snyder
b1ce6bd5cc
Support "var"
2009-11-05 19:57:31 -05:00
Wilson Snyder
81915540de
Support "reg x [3][2]".
2009-11-05 19:26:44 -05:00
Wilson Snyder
0d65f08b1d
Support "program".
2009-11-05 19:09:45 -05:00
Wilson Snyder
ffbd1fd474
Support "reg [1:0][1:0][1:0]", bug176.
2009-11-05 09:57:23 -05:00
Wilson Snyder
f59467b897
Support void functions.
...
Fix "int" etc added in wrong keyword section in last commit.
2009-11-02 22:50:31 -05:00
Wilson Snyder
6bc81d3d26
Support byte, shortint, int, longint in variables, parameters and functions.
...
Internals: function/var sizing and signing now comes via dtypep()
Internals: cleanup code that widths parameters (again)
2009-11-02 22:14:11 -05:00
Wilson Snyder
e4d5367ead
Version bump
2009-10-26 20:19:23 -04:00
Wilson Snyder
47b5157f01
Support division and modulus of > 64 bit vectors.
2009-10-26 20:12:09 -04:00
Wilson Snyder
39444d83c5
Support little endian bit vectors ("reg [0:2] x;").
2009-10-25 16:53:55 -04:00
Wilson Snyder
e14695c96e
Fix erroring on strings with backslashed newlines, bug168.
2009-10-22 21:46:49 -04:00
Wilson Snyder
b1e6fe7139
Fix core dump with SystemVerilog var declarations under unnamed begins.
2009-10-11 20:50:31 -04:00
Wilson Snyder
92718a819c
Fix cell port connection to unsized integer causing false width warning.
2009-10-09 22:55:37 -04:00
Wilson Snyder
ceb2f6894f
Fix writing to out-of-bounds arrays writing element 0.
2009-10-08 20:42:45 -04:00
Wilson Snyder
db5674cb08
Fix VCD files showing internal flattened hierarchy, broke in 3.714.
2009-09-26 09:31:50 -04:00
Wilson Snyder
3a0babb47d
Version bump
2009-09-18 22:37:53 -04:00
Wilson Snyder
abc738b6f1
Fix deep defines causing flex scanner overflows.
2009-09-17 22:23:18 -04:00
Wilson Snyder
d37cc5a160
Fix preprocessing commas in deep parameterized macros.
2009-09-17 22:00:17 -04:00
Wilson Snyder
1a0de753e9
Don't require SYSTEMPERL_INCLUDE if SYSTEMPERL/src exists.
2009-09-17 21:31:03 -04:00
Wilson Snyder
0c0a588b55
Support generate for var++, var--, ++var, --var.
2009-09-16 10:32:14 -04:00
Wilson Snyder
faa5ef193b
Add --bbox-sys option to blackbox $system calls.
2009-09-16 09:28:09 -04:00
Wilson Snyder
9cf61cef9d
Fix --error-limit option being ignored.
2009-09-15 18:11:21 -04:00
Wilson Snyder
0b24e62d43
Fix $display with uppercase %M.
2009-09-11 19:25:42 -04:00
Wilson Snyder
91b1e66933
Fix tracing escaped dotted identifiers.
2009-09-07 15:55:54 -04:00
Wilson Snyder
eea2712eac
Improved warning when "do" used as identifier.
2009-09-07 15:54:12 -04:00
Wilson Snyder
b19a4b6956
Version bump
2009-08-04 15:23:23 -04:00
Wilson Snyder
a01c995a53
Fix escaped preprocessor identifiers, bug106.
2009-07-31 12:02:43 -04:00
Wilson Snyder
59b491e3a8
Allow renaming C keywords
2009-07-22 15:21:41 -04:00
Wilson Snyder
aeeaaa53d4
Support constant function calls for parameters.
2009-07-17 14:13:11 -04:00
Wilson Snyder
4dde1ede0e
Support SystemVerilog "logic", bug101.
2009-07-16 09:19:15 -04:00
Wilson Snyder
0607edd191
Version bump
2009-07-14 08:42:01 -04:00
Wilson Snyder
6835aecdce
On WIDTH warnings, show variable name causing error.
2009-07-09 17:39:24 -04:00
Wilson Snyder
8174c1ad02
Patching SystemC is no longer required to trace sc_bvs.
2009-07-07 17:51:00 -04:00
Wilson Snyder
be1a3f427e
Support zero-width constants in concatenations.
2009-06-30 11:54:07 -04:00
Wilson Snyder
348c43de63
Add verilator --pins-uint8 option to use sc_in<uint8_t/uint16_t>.
2009-06-29 09:21:21 -04:00
Wilson Snyder
8b20d777b0
Add verilator -V option, to show verbose version.
2009-06-25 19:53:26 -04:00
Wilson Snyder
ec0947ef7e
Add BLKLOOPINIT error code, and describe --unroll-count.
2009-06-24 17:24:42 -04:00
Wilson Snyder
02e6005fa9
Version bump
2009-06-23 14:09:38 -04:00
Wilson Snyder
a32caac3c1
Fix error on case statement with all duplicate items, bug99.
2009-06-22 18:49:20 -04:00
Wilson Snyder
02d162858f
Fix compiler errors under Fedora release candidate 11.
2009-06-12 09:56:46 -04:00
Wilson Snyder
3c395c26be
Support decimal constants of arbitrary widths.
2009-06-12 08:27:48 -04:00
Wilson Snyder
ea78520220
Fix tristates causing "Assigned pin is neither..."
2009-06-09 15:37:52 -04:00
Wilson Snyder
b9be4ae4e8
Fix segfault on unrolling for's with bad inits, bug90.
2009-06-08 15:59:33 -04:00
Wilson Snyder
e9a7f60fa7
Version bump
2009-05-19 07:50:56 -04:00
Wilson Snyder
663f3592ba
`__FILE__ now expands to a string, per draft SystemVerilog 2010(ish).
2009-05-19 07:15:13 -04:00
Wilson Snyder
4e522ab7f5
Fix define formal arguments that contain newlines, bug84.
2009-05-11 11:57:43 -04:00
Wilson Snyder
96d150e92d
Verilator_includer is no longer installed twice, bug48.
2009-05-08 15:05:12 -04:00
Wilson Snyder
a3e463030d
Fix escaped identifiers with '.' causing conflicts, bug83.
2009-05-08 13:16:19 -04:00
Wilson Snyder
7df730cedd
Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
2009-05-04 17:07:57 -04:00
Wilson Snyder
314ffd9353
Version bump
2009-05-02 07:38:24 -04:00
Wilson Snyder
2c953dc37f
Fix $clog2 calculation error with powers-of-2, bug81.
2009-05-01 22:18:32 -04:00
Wilson Snyder
9b9e4e5a3f
Fix "redefining I" error with complex includes.
2009-04-28 07:19:50 -04:00
Wilson Snyder
50f835c701
Fix segfault with error on bad --top-module, bug79.
2009-04-24 10:32:11 -04:00
Wilson Snyder
cbb3351d97
Fix GCC 4.3.2 compile warnings.
2009-04-23 09:16:25 -04:00
Wilson Snyder
86f08a341c
Fix error with tasks that have output first, bug78.
2009-04-08 14:33:12 -04:00
Wilson Snyder
3d85cbe6b5
Fix "cloning" error with -y/--top-module, bug76.
2009-04-07 13:23:25 -04:00
Wilson Snyder
eb072da81c
Version bump
2009-03-28 10:30:35 -04:00
Wilson Snyder
38669d0a10
Add SYSTEMPERL_INCLUDE envvar to assist RPM builds.
2009-03-28 10:18:53 -04:00
Wilson Snyder
96692e8a5b
Report errors when duplicate labels are used, bug72.
2009-03-23 14:57:15 -04:00
Wilson Snyder
71bdfd9710
Fix ASSIGN_SI errors with new --pins-bv 1 option
2009-03-13 22:58:55 -04:00
Wilson Snyder
193dcf38f4
Add --pins-bv option to use sc_bv for all ports.
2009-03-13 14:17:30 -04:00
Wilson Snyder
09091781cf
Fix the SC_MODULE name() to not include __PVT__, for nicer coverage.
2009-03-12 14:07:38 -04:00
Wilson Snyder
023519c8f6
Version bump
2009-02-26 07:44:00 -05:00
Wilson Snyder
d60d0a60c7
Support repeat and forever statements.
2009-02-25 22:06:59 -05:00
Wilson Snyder
85419f01a9
Fix left associativity for ?: operators.
2009-02-07 20:54:09 -05:00
Wilson Snyder
2224918730
Fix error messages to consistently go to stderr.
2009-01-26 07:57:59 -05:00
Wilson Snyder
9162e68d82
Commentary
2009-01-24 21:35:08 -05:00
Wilson Snyder
81092edab4
Commentary
2009-01-21 16:59:42 -05:00
Wilson Snyder
21b5a4e9e4
Add --debugi-<srcfile> option.
2009-01-21 16:56:50 -05:00
Wilson Snyder
057928b079
Fix VL_RANDom to better randomize bits.
2009-01-21 13:52:51 -05:00
Wilson Snyder
13e8176884
Fix compile issues with GCC 4.3, bug47.
2009-01-09 11:28:50 -05:00
Wilson Snyder
aac0130613
Version bump
2009-01-08 10:52:37 -05:00
Wilson Snyder
0877f44cb5
Fix creating parameterized modules when no parameter values are changed.
2009-01-08 09:22:31 -05:00
Lane Brooks
0e4f9170fa
Tristate support; merge from branch.
2009-01-06 11:03:57 -05:00
Wilson Snyder
41555e5aa3
Coverage of each parametarized module is counted separately.
2009-01-05 14:16:09 -05:00
Wilson Snyder
3d06720628
Copyright year update
2009-01-02 11:47:39 -05:00
Wilson Snyder
c1d2b98386
Fix wrong result for read of delayed FSM signal, bug46.
2008-12-30 17:11:25 -05:00
Wilson Snyder
1a60723d77
Fix internal error on "output x; reg x = y;"
2008-12-30 14:34:01 -05:00
Wilson Snyder
a07a234761
Fix compile error on Ubuntu 8.10.
2008-12-22 11:28:42 -05:00
Wilson Snyder
74cf205bcf
Move coverage type field into page field, and add module name
2008-12-12 16:04:56 -05:00
Wilson Snyder
77405ddded
Add toggle coverage
2008-12-12 15:34:02 -05:00
Wilson Snyder
6b46da0240
Create /*verilator coverage_on/off*/ instead of coverage_module_off.
...
This allows finer grained bracketing of sections of interest.
Convert tracing_on/off to use the same general scheme.
2008-12-11 16:01:41 -05:00
Wilson Snyder
0fed1d34d1
Add /*verilator coverage_module_off*/
2008-12-10 17:10:03 -05:00
Wilson Snyder
adebc99e49
Fix certain generate-if cells causing clone error.
2008-12-09 20:59:22 -05:00
Wilson Snyder
d3d1291d5a
Fix line coverage of public functions.
...
Line coverage now aggregates by hierarchy automatically.
Previously this would be done inside SystemPerl, which was slower.
2008-12-05 10:54:14 -05:00
Wilson Snyder
9d856ec1bf
Fix SystemC 2.2 deprecated warnings about sensitive() and sc_start().
2008-12-03 10:11:28 -05:00
Wilson Snyder
30ad20be52
Internals: Eliminate user5 for smaller AstNode/better runtime
2008-11-25 07:57:02 -05:00
Wilson Snyder
41dbfc9e1c
Support posedge of bit-selected signals, bug45.
2008-11-22 21:10:20 -05:00
Wilson Snyder
b07dd622fa
Commentary: Debugging and some astgen code cleanup.
...
No functional change.
2008-11-22 17:37:20 -05:00
Wilson Snyder
211894cb3e
Fix arrayed variables under function not compiling, bug44.
2008-11-19 09:43:03 -05:00
Wilson Snyder
b75ff3652c
Fix internal signal names containing control characters (broke in 3.680).
...
Internally this means for signal names use __0{xdigit}{xdigit} and avoid
__0 in other cases.
2008-11-17 21:02:10 -05:00
Wilson Snyder
13f6c5a934
Fix --output-split-cfuncs to also split trace code.
2008-11-17 17:13:57 -05:00
Wilson Snyder
2e0f6e2b13
Optimize two-level shift and and/or trees.
2008-11-17 11:36:01 -05:00
Wilson Snyder
e46e7bbf99
Fix 'bad select range' warning missing some cases, bug43.
2008-11-12 20:54:58 -05:00
Wilson Snyder
4eeeb72dd5
Version bump
2008-11-12 15:32:22 -05:00
Wilson Snyder
f3a4752799
Fix MSVC compile error; bug42.
2008-11-05 10:52:23 -05:00
Wilson Snyder
3e8cf26c1a
Fix coverage hierarchy being backwards with inlining.
2008-11-04 17:19:59 -05:00
Wilson Snyder
ce212722ad
Fix 'for' under 'generate-for' causing error; bug38.
2008-10-28 21:38:01 -04:00
Wilson Snyder
5c127daeb4
Fix wrong version for some changes; docs only
2008-10-28 21:09:45 -04:00
Wilson Snyder
e49fc945df
Fix GCC 4.3 compile error; bug35
2008-10-15 10:49:05 -04:00
Wilson Snyder
384807ebbd
Ignore SystemVerilog timeunit and timeprecision
2008-10-14 14:49:54 -04:00
Wilson Snyder
ac619ef3d8
Include Verilog file's directory name in coverage reports.
2008-10-14 14:27:11 -04:00
Wilson Snyder
a348bd3458
Add unique and parallel case
2008-10-10 19:02:27 -04:00
Wilson Snyder
bcc7045fc9
Version bump
2008-10-08 17:08:47 -04:00
Wilson Snyder
3b1929259a
Support negative bit indexes.
...
Allow arbitrary characters in symbols (to make '-' work.)
Final merge from negative_lsb branch.
2008-10-06 09:59:22 -04:00
Wilson Snyder
cdd6ea8e60
Fix genvars causing link error when using --public.
2008-09-30 08:58:07 -04:00
Wilson Snyder
4b8927af75
Expand environment variables in -f input files.
2008-09-29 15:51:45 -04:00
Wilson Snyder
bd6e8d808c
Report error if port declaration is missing; bug32.
2008-09-23 09:35:00 -04:00
Wilson Snyder
f197dd29cb
Suppress width warnings between constant strings and wider vectors.
2008-09-22 19:36:08 -04:00
Wilson Snyder
daf7f42138
Version bump
2008-09-19 17:23:15 -04:00
Wilson Snyder
d90071637d
SystemC uint64_t pins are now the default instead of sc_bv<64>.
...
Use --no-pins64 for backward compatibility.
2008-09-18 09:20:16 -04:00
Wilson Snyder
22543f3e19
Support arbitrary characters in identifiers and tracing.
2008-09-17 22:22:46 -04:00
Wilson Snyder
99cf981c2f
Support coverage under SystemPerl 1.285 and newer.
2008-09-04 09:43:53 -04:00
Wilson Snyder
4770fd39d2
Fix stack overflow on large ? : trees.
2008-08-20 15:59:10 -04:00
Wilson Snyder
3463080a71
Fix extra evaluation of pure combo blocks in SystemC output.
2008-08-06 17:09:33 -04:00
Wilson Snyder
500dc2170f
Support SystemVerilog "cover property" statements.
2008-08-06 12:52:39 -04:00
Wilson Snyder
2b63219cc6
Add IMPERFECTSCH warning, disabled by default.
2008-08-05 13:41:53 -04:00
Wilson Snyder
f1b7762bef
Add by-design and by-module subtotals to verilator_profcfunc.
2008-08-05 09:59:15 -04:00
Wilson Snyder
043ad86482
When warnings are disabled on signals that are flattened out, disable
...
the warnings on the signal(s) that replace it.
2008-08-01 15:30:17 -04:00
Wilson Snyder
d499161446
Fix typo in CASEWITHX
2008-07-23 08:58:48 -04:00
Wilson Snyder
34e8de56bc
Version bump
2008-07-23 07:51:32 -04:00
Wilson Snyder
8a7864ebaa
Add --Wfuture-, for improving forward compatibility.
2008-07-22 14:27:34 -04:00
Wilson Snyder
9e5fb5467f
Add CASEZWITHX lint warning and if disabled fix handling of casez with Xs.
2008-07-22 13:07:19 -04:00
Wilson Snyder
fb34bf7222
Fix lvalue errors with public functions; bug25.
2008-07-22 11:15:28 -04:00
Wilson Snyder
4591f35b7c
Add --autoflush option
2008-07-16 14:06:08 -04:00
Wilson Snyder
f0a06182ca
Add --x-assign=fast, and make it the default.
2008-07-16 13:31:21 -04:00
Wilson Snyder
d9e47a6293
Add WIDTH warning to etc file descriptors.
2008-07-14 17:15:26 -04:00
Wilson Snyder
826b997166
Add .
2008-07-14 13:16:05 -04:00
Wilson Snyder
5771ea48ef
Fix IMPURE errors due to X-assignment temporary variables.
2008-07-14 10:42:58 -04:00
Wilson Snyder
e6a43fc127
Fix verilator_includer not being installed into where verilated.mk.in expects
2008-07-01 16:10:09 -04:00
Wilson Snyder
701bd38d01
Add support for , . Bug14.
2008-07-01 14:15:10 -04:00
Wilson Snyder
1a8c8bec0d
Rework $display/$write to go via new VL_WRITE function instead of
...
converting to pure C printf call. This makes the resulting code smaller,
and allows sharing code with future $sprintf support.
2008-06-30 14:31:58 -04:00
Wilson Snyder
0703843ac1
Support , .
2008-06-27 20:04:20 -04:00
Wilson Snyder
d6884db439
Support .
2008-06-27 11:36:25 -04:00
Wilson Snyder
fdcbedef8f
Add support
2008-06-27 08:45:05 -04:00
Wilson Snyder
8afd19648f
Support
2008-06-26 08:52:02 -04:00
Wilson Snyder
3017f12238
Version bump
2008-06-25 07:50:32 -04:00
Wilson Snyder
23ee0342c6
Fix Makefile to find headers/libraries under prefix.
2008-06-24 14:50:34 -04:00
Wilson Snyder
5703377a5f
Fix tracing missing changes on undriven public wires.
2008-06-11 20:33:53 -04:00
Wilson Snyder
b85aa872cc
Make install now installs verilator_includer and verilator_profcfunc.
2008-06-11 15:13:41 -04:00
Wilson Snyder
5a1a5a7d97
Ignore "// verilator" comments alone on endif lines.
2008-06-11 13:09:36 -04:00
Wilson Snyder
52912c6329
Convert repository to git from svn.
...
- Change .cvsignore to .gitignore
- Remove Id metacomments
- Cleanup whitespace at end of lines
2008-06-09 21:25:10 -04:00
Wilson Snyder
bb038e86a2
Preproc: Fix error when macro call has commas in concatenate.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1071 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-06-04 15:39:44 +00:00
Wilson Snyder
cc6c71eb37
Preproc: Fix syntax error when include defname is ifdefed.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1070 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-06-04 14:45:32 +00:00
Wilson Snyder
d6e9c72424
Fix compile errors under Fedora 9, GCC 4.3.0.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1068 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-05-28 19:58:18 +00:00
Wilson Snyder
96608fb18d
Fix typo in Changes file
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1064 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-05-08 16:37:19 +00:00
Wilson Snyder
66b8ec8935
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1059 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-05-08 14:38:43 +00:00
Wilson Snyder
0cbab84143
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1053 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-05-07 18:27:23 +00:00
Wilson Snyder
a4e2a770f6
Add DESTDIR to Makefiles to assist RPM construction. [Gunter Dannoritzer]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1052 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-05-07 17:46:33 +00:00
Wilson Snyder
f6c8888ee2
Fix comma separated list of primitives. [by Bryan Brady]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1050 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-05-06 14:52:53 +00:00
Wilson Snyder
9dade8fbd9
Fix parametrized defines calling define with comma. [Joshua Wise]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1048 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-05-06 01:11:21 +00:00
Wilson Snyder
d2d38edf06
Fix preprocessor `else after series of `elsif. [Mark Nodine]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1047 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-05-06 00:50:47 +00:00
Wilson Snyder
e0abd238e3
Fix compiler warnings under GCC 4.2.1/ SuSE 10.3
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1046 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-29 14:14:20 +00:00
Wilson Snyder
0c73b547f1
Fix bug introduced in 3.661 with parametrized defines.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1042 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-25 14:01:50 +00:00
Wilson Snyder
73c3d4bb50
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1041 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-25 12:17:12 +00:00
Wilson Snyder
506c36beed
Fix ranges on gate primitive instantiations
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1038 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-25 11:48:18 +00:00
Wilson Snyder
0110f0193e
Allow /**/ comments in -f option files.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1037 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-24 15:36:46 +00:00
Wilson Snyder
aa2630f837
Ignore old standard(ish) Verilog-XL defines
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1035 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-24 15:04:01 +00:00
Wilson Snyder
e137e93f94
Support optional argument to and .
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1034 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-24 14:32:39 +00:00
Wilson Snyder
956a0a9c99
Add Verilog 2005 () function.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1032 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-24 13:52:51 +00:00
Wilson Snyder
ab83717b7d
Fix internal error when params get non-constants. [Johan Wouters]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1031 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-17 15:47:16 +00:00
Wilson Snyder
9ca3dbc140
Allow defines terminated in EOF, though against spec. [Stefan Thiede]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1029 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-15 19:12:25 +00:00
Wilson Snyder
a02e2e25bb
Fix "always @ ((a) or (b))" syntax error. [by Niranjan Prabhu]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1028 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-14 21:47:39 +00:00
Wilson Snyder
a9281f2c37
Fix "output reg name=expr;" syntax error. [Martin Scharrer]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1027 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-14 21:10:34 +00:00
Wilson Snyder
95395a8b87
Fix multiple .v files being read in random order. [Stefan Thiede]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1026 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-09 14:17:03 +00:00
Wilson Snyder
ecdbd72fa1
Add error message when modules have duplicate names.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1025 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-09 13:56:40 +00:00
Wilson Snyder
3a2f8224e4
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1022 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-04 18:30:51 +00:00
Wilson Snyder
15841fe5f6
Support functions with input
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1021 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-04 18:29:33 +00:00
Wilson Snyder
7e5a7b65a0
Unsized concatenates now give WIDTHCONCAT warnings.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1020 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-02 12:53:53 +00:00
Wilson Snyder
549bf876e4
Ignore delays attached to gate UDPs. [Stefan Thiede]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1019 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-04-01 19:26:06 +00:00
Wilson Snyder
61fbab1910
Fix internal error after MSB < LSB error reported to user. [Stefan Thiede]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1017 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-31 14:09:52 +00:00
Wilson Snyder
957f495314
Fix task output pin connected to non-variables.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1016 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-28 21:55:23 +00:00
Wilson Snyder
280eb48ba4
Add --language option for supporting older code. [Stefan Thiede]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1015 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-28 20:41:21 +00:00
Wilson Snyder
ebe5711b40
The --enable-defenv configure option added in 3.660 is now the default.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1014 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-28 19:42:14 +00:00
Wilson Snyder
a16477d84f
Fix SystemVerilog parameterized defines and whitespace
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1013 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-27 13:21:49 +00:00
Wilson Snyder
f6fb2362c6
Fix dropping of backslash quoted-quote at end of .
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1012 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-26 14:58:30 +00:00
Wilson Snyder
93531e520a
Add --top-module option to select between multiple tops. [Stefan Thiede]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1010 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-25 19:57:41 +00:00
Wilson Snyder
aa2db8fdde
Fix no-module include files on command line. [Stefan Thiede]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1009 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-25 13:42:48 +00:00
Wilson Snyder
7c3c2af90b
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1006 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-23 13:58:27 +00:00
Wilson Snyder
ede37bb9d8
Allow assigns to create implicit wires
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1004 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-20 01:40:22 +00:00
Wilson Snyder
4a1729eaab
Allow __ in cell names by quoting them in C.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1003 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-20 01:16:33 +00:00
Wilson Snyder
b1565f5b89
Add --error-limit option
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1002 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-20 00:14:26 +00:00
Wilson Snyder
4fb8dcfd4e
Convert re-defining macro error to warning.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1001 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-19 15:05:08 +00:00
Wilson Snyder
16d1f2b835
Allow multiple .v files on command line. [Stefan Thiede]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@1000 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-19 14:22:05 +00:00
Wilson Snyder
73594e5aa5
Fix definitions in main file.v, referenced in library. [Stefan Thiede]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@999 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-19 00:44:54 +00:00
Wilson Snyder
c0a365bd34
With --enable-defenv, support for hard-coding VERILATOR_ROOT etc in the executables
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@998 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-18 20:26:37 +00:00
Wilson Snyder
d545ae242c
Fix assignments to inputs inside functions/tasks. [Patricio Kaplan]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@996 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-18 12:41:59 +00:00
Wilson Snyder
e862aaf2e1
Fix genvar to be signed, so "< 0" works properly. [Niranjan Prabhu]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@995 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-03-17 20:58:43 +00:00
Wilson Snyder
30c3540086
Fix compile error in some oses
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@992 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-02-25 13:55:06 +00:00
Wilson Snyder
663a084ca4
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@989 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-02-20 16:55:43 +00:00
Wilson Snyder
b4d9ccd9af
Fix assignments of {a,b,c} = {c,b,a}
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@988 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-02-20 16:54:41 +00:00
Wilson Snyder
98fff6a4ce
Fix parsing of always @(*)
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@987 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-02-14 02:08:10 +00:00
Wilson Snyder
e4297486ef
Avoid creating obj_dir with --lint-only
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@985 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-01-31 14:49:27 +00:00
Wilson Snyder
8bc1e75d9f
Fix version bump information
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@981 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-01-18 21:45:16 +00:00
Wilson Snyder
a1c7adcffc
Add class declarations when tracing
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@980 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-01-15 18:36:47 +00:00
Wilson Snyder
8867169957
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@979 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-01-15 15:03:59 +00:00
Wilson Snyder
79eac1e6b0
Fixed sign error when extracting from signed memory
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@978 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-01-15 15:00:10 +00:00
Wilson Snyder
a2ffe86a36
Copyright update
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@976 77ca24e4-aefa-0310-84f0-b9a241c72d87
2008-01-15 14:29:08 +00:00
Wilson Snyder
22bde7d461
Fixed tracing of SystemC w/o SystemPerl
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@975 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-12-13 13:54:04 +00:00
Wilson Snyder
f23203dc96
Make __Inlines.h file so we can build VL_CONST_W_#X funcs automatically
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@974 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-11-30 22:38:21 +00:00
Wilson Snyder
6412aff82d
Version bump, commentary
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@970 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-11-27 16:52:19 +00:00
Wilson Snyder
891edad53a
Fixed generate for loops with constant zero conditions.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@968 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-11-05 14:09:22 +00:00
Wilson Snyder
98e35b02ad
Leak repairs and node leak detection
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@967 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-11-02 11:23:03 +00:00
Wilson Snyder
10e34ca48e
Support "#delay <statement>;" with associated STMTDLY warning.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@965 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-10-31 20:29:07 +00:00
Wilson Snyder
7fc3e6c168
Fix missing CAST required above some CONSTs
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@963 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-10-31 19:22:26 +00:00
Wilson Snyder
199b32709c
Fix div by zero in constant propagation
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@962 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-10-30 22:58:47 +00:00
Wilson Snyder
a77b58dba9
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@957 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-10-18 16:32:16 +00:00
Wilson Snyder
9ac0f1accb
Fix parsing system functions with empty parens
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@955 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-09-17 17:54:02 +00:00
Wilson Snyder
7990e5d4b1
Fix parsing module #(parameter x,y) declarations.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@954 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-09-11 13:35:02 +00:00
Wilson Snyder
fb2cb3c49d
Don't exit early if many warnings but no errors are found. [Stan Mayer]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@953 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-08-23 13:21:58 +00:00
Wilson Snyder
c4b1bc2506
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@950 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-08-01 13:44:45 +00:00
Wilson Snyder
551393d443
Fix SC_LIBS missing from generated makefiles. [Ding Xiaoliang]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@949 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-07-31 14:47:21 +00:00
Wilson Snyder
5f6d69affd
Support SystemVerilog ==? and !=? operators.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@945 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-07-18 15:01:39 +00:00
Wilson Snyder
4a9bea6980
Version bump; commentary
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@942 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-06-21 21:00:38 +00:00
Wilson Snyder
bfddd80f43
Support V2K function/task argument lists.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@941 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-06-19 23:43:14 +00:00
Wilson Snyder
d6a5c97f3a
Fix last change: percents must be literalized
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@938 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-06-14 18:34:08 +00:00
Wilson Snyder
9c968c590c
Fix Preprocessor dropping some line directives
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@934 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-06-13 17:34:09 +00:00
Wilson Snyder
dff5d5c4e4
Report as many warning types as possible before exiting.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@933 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-06-12 19:39:10 +00:00
Wilson Snyder
1265e8cce8
Support V2K portlists with input a,b,...
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@932 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-06-12 13:58:56 +00:00
Wilson Snyder
bb9ae89049
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@928 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-05-22 12:15:01 +00:00
Wilson Snyder
72832a2810
Support empty module declarations
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@927 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-05-18 18:48:22 +00:00
Wilson Snyder
18cb210eac
Ignore protect, and allow empty case
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@926 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-05-18 14:03:50 +00:00
Wilson Snyder
ea26482154
Support functions which return integers
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@923 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-05-16 18:19:23 +00:00
Wilson Snyder
3aee7f918a
More cleanup to match VParse, and support celldefine properly
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@922 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-05-16 12:55:25 +00:00
Wilson Snyder
8d56b498d1
Warn if flex is not installed.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@918 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-04-24 17:03:52 +00:00
Wilson Snyder
871c1853bf
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@915 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-04-20 12:43:23 +00:00
Wilson Snyder
f8cd3a785c
Use LINK for the loader, and add USER_LDFLAGS and USER_CPPFLAGS
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@914 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-04-19 18:39:47 +00:00
Wilson Snyder
6a6995187e
Add --compiler flags, and break up deep functions
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@913 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-04-19 18:20:16 +00:00
Wilson Snyder
a216c1e7e4
Add verilator lint_save/lint_restore
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@912 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-04-19 14:21:37 +00:00
Wilson Snyder
77261cce5b
Add -lint-only option
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@910 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-04-18 18:26:38 +00:00
Wilson Snyder
78db712438
Fix compile errors under Windows MINGW compiler. [Gerald Williams]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@909 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-04-18 17:58:59 +00:00
Wilson Snyder
cbf52bb5d0
Fix 3.640 `verilog forcing IEEE 1364-1995 only.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@907 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-03-16 18:44:17 +00:00
Wilson Snyder
d2ce499b59
Support SystemVerilog .name and .* interconnect.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@906 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-03-14 13:06:08 +00:00
Wilson Snyder
01e9bc4855
Support while and do-while loops.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@905 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-03-13 18:21:23 +00:00
Wilson Snyder
28d5e425a9
Fix dotted references under other dotteds
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@904 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-03-13 17:15:00 +00:00
Wilson Snyder
eae1f380cd
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@901 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-03-12 12:58:08 +00:00
Wilson Snyder
98282114c9
Fix display %m names inside named blocks.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@897 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-03-06 18:53:24 +00:00
Wilson Snyder
fabbfbc46e
Add `begin_keywords support
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@894 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-03-05 21:35:49 +00:00
Wilson Snyder
c7d80f8cf8
Update keywords to indicate which language spec
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@893 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-03-05 20:29:05 +00:00
Wilson Snyder
c70add7d0f
Fix user() not zeroing all 64 bits in graphalg
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@892 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-03-05 13:52:21 +00:00
Wilson Snyder
44fe8741f3
Add /*verilator public_flat*/
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@891 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-03-02 22:24:51 +00:00
Wilson Snyder
f8680cf5c2
Don't constant prop public signals
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@890 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-03-02 21:14:22 +00:00
Wilson Snyder
24c3424e51
Try all +libext's in the exact order given.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@889 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-02-27 20:00:29 +00:00
Wilson Snyder
bd264006ef
Fix empty for blocks
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@888 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-02-27 14:30:22 +00:00
Wilson Snyder
bec3daa79f
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@885 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-02-07 14:27:37 +00:00
Wilson Snyder
8e7267f0e2
With VL_DEBUG, show wires causing convergance errors.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@883 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-01-31 21:49:13 +00:00
Wilson Snyder
5dbae27f01
Fix 's with array select followed by wide AND. [David Hewson]
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@882 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-01-31 15:44:36 +00:00
Wilson Snyder
ecb938f20e
Add isolate_assignments to functions
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@881 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-01-30 15:51:16 +00:00
Wilson Snyder
f1a2ee3273
Allow isolate_assignments on task input/outputs
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@880 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-01-26 21:53:03 +00:00
Wilson Snyder
3ff10b40d6
Fix isolate_assignments when many signals per always.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@877 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-01-23 18:11:26 +00:00
Wilson Snyder
7f515e6033
Add --trace-depth option
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@876 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-01-18 18:31:49 +00:00
Wilson Snyder
a583592cd4
Make error code for MULTITOP and document
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@875 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-01-18 14:30:45 +00:00
Wilson Snyder
b6f2b5b46a
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@872 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-01-18 00:52:10 +00:00
Wilson Snyder
895a3264a3
Add isolate_assignments meta comment
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@871 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-01-18 00:51:26 +00:00
Wilson Snyder
b5b1d94d4a
Copyright date update
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@864 77ca24e4-aefa-0310-84f0-b9a241c72d87
2007-01-02 22:06:40 +00:00
Wilson Snyder
5a65f6debb
Support [#] in dotted cell names
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@863 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-12-22 15:06:13 +00:00
Wilson Snyder
42883e7b6a
Fix * with filenames < 8 characters
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@859 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-12-21 15:28:32 +00:00
Wilson Snyder
8714ee591f
Yet another round of dotted generate fixes
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@856 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-12-20 20:45:13 +00:00
Wilson Snyder
52e36fb434
Reduce depth of priority encoded case statements.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@848 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-12-19 16:26:49 +00:00
Wilson Snyder
100d5b386c
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@847 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-12-19 14:13:34 +00:00
Wilson Snyder
699563c9bd
Add support.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@846 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-12-19 14:09:57 +00:00
Wilson Snyder
3b554f4c5b
Rename config.h to config_build.h, and add cross compile notes.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@842 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-12-18 19:20:45 +00:00
Wilson Snyder
cf40fd356f
Fix split list ordering causing circular refs
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@841 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-12-18 18:20:13 +00:00
Wilson Snyder
4100417f83
Show known scopes when dotted errors show up
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@839 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-12-15 22:28:18 +00:00
Wilson Snyder
857ac24ba7
Fix dotted ref signals under generate cells
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@837 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-12-12 18:25:33 +00:00
Wilson Snyder
8b91a9f898
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@832 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-12-05 15:12:19 +00:00
Wilson Snyder
038ee69a96
Add M32 make variable
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@831 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-11-02 19:13:07 +00:00
Wilson Snyder
8986d1f16f
Avoid some duplicate sensitivity ifs.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@830 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-26 01:20:49 +00:00
Wilson Snyder
86c8e7b3e6
Add --output-split-cfuncs for accelerating GCC.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@829 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-26 01:08:44 +00:00
Wilson Snyder
9026118a7c
Fix (blah) with width violation
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@828 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-25 21:41:32 +00:00
Wilson Snyder
6e16bc1b7b
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@823 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-18 13:50:44 +00:00
Wilson Snyder
59141b1678
Fix --skip-identical
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@820 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-12 14:01:06 +00:00
Wilson Snyder
2083c071ce
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@817 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-11 15:42:32 +00:00
Wilson Snyder
3ad5872d30
Add verilator no_inline_task
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@816 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-11 15:41:42 +00:00
Wilson Snyder
ea6bb21cdc
Fix V3Subst mis-optimizing concats in t_case_write
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@815 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-11 15:34:50 +00:00
Wilson Snyder
710d7c0ee5
Fix link error when using --exe with --trace.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@813 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-09 13:38:15 +00:00
Wilson Snyder
318a6e348c
Support wide public task outputs.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@810 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-05 14:53:17 +00:00
Wilson Snyder
95c5b85e88
Unlink .vpp files without --debug
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@808 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-04 18:46:42 +00:00
Wilson Snyder
a46a556a64
Don't coredump without --debug
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@807 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-04 15:46:13 +00:00
Wilson Snyder
fa3e03e071
version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@804 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-04 12:57:29 +00:00
Wilson Snyder
74d7c55f1b
Fix dotted variables in always sensitivity lists.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@803 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-10-03 13:02:21 +00:00
Wilson Snyder
b4d4917c6c
Fix coredump in XREFs under FUNCREF's
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@800 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-30 00:08:09 +00:00
Wilson Snyder
5c93520b27
Associative same variable elimination
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@799 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-28 14:37:28 +00:00
Wilson Snyder
94f0809d33
Add more boolean identity fixes
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@798 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-27 18:00:53 +00:00
Wilson Snyder
ba7b4f261a
Redo V3Life to also do constant propagation
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@796 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-26 15:05:35 +00:00
Wilson Snyder
4f42c25c7c
Fix inout task arguments
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@795 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-25 20:40:52 +00:00
Wilson Snyder
621ef70c31
Allow overriding PERL, YACC, LEX versions.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@794 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-25 15:58:17 +00:00
Wilson Snyder
e3f17e7853
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@791 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-20 13:25:03 +00:00
Wilson Snyder
73c897ac69
Fix printf ULL warnings with a cast.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@790 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-20 13:24:18 +00:00
Wilson Snyder
f9697463cc
Have preprocessor strip all DOS returns
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@788 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-13 14:38:48 +00:00
Wilson Snyder
f283076570
Rename {newline} in lex; no functional change
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@787 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-13 13:18:24 +00:00
Wilson Snyder
e15228498d
Define VL_PRINTF by default, and remove old coverageRequest
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@786 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-12 00:55:27 +00:00
Wilson Snyder
53155b3a36
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@783 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-11 20:44:54 +00:00
Wilson Snyder
88809587f9
Fix function references under top inlined module.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@781 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-08 16:16:30 +00:00
Wilson Snyder
1b20481100
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@778 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-06 17:50:47 +00:00
Wilson Snyder
0a765fbb54
Fix function calls inside loop bounds
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@776 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-05 20:06:23 +00:00
Wilson Snyder
6f48185a1f
Fix arrayed instances
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@774 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-01 15:31:07 +00:00
Wilson Snyder
7f1b16837e
Fix dead modules under generate cells not getting removed
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@773 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-09-01 14:05:20 +00:00
Wilson Snyder
fe99abeccc
Add -MP option
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@772 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-08-31 15:29:15 +00:00
Wilson Snyder
3909ba1cef
Reorder structures to always go through symp
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@770 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-08-30 21:07:55 +00:00
Wilson Snyder
b7485bfc0b
Fix and test for memory leaks
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@768 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-08-30 19:50:24 +00:00
Wilson Snyder
c82235a2de
Add `systemc_dtor option
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@767 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-08-30 17:27:53 +00:00
Wilson Snyder
6358b7f1a3
Make display %m name() calls relative to vlsyms
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@766 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-08-30 01:14:29 +00:00
Wilson Snyder
3b09ceae12
Declare V3Tables static
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@763 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-08-29 00:58:48 +00:00
Wilson Snyder
eec5c8bf6d
Add --inhibit-sim switch so we can remove extra Vm_inhibitSim variable
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@762 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-08-29 00:27:04 +00:00
Wilson Snyder
5105a0f7f5
Fix V3Table not deleting next nodes.
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@757 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-08-27 15:07:59 +00:00
Wilson Snyder
1c0b6d7ef3
Fix extra dot names, and missing __PVT in functions
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@756 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-08-27 14:51:25 +00:00
Wilson Snyder
ce10dbd11c
Version bump
...
git-svn-id: file://localhost/svn/verilator/trunk/verilator@753 77ca24e4-aefa-0310-84f0-b9a241c72d87
2006-08-26 11:35:28 +00:00