verilator/test_regress/t
Thomas Aldrian a68d92e4e1
modport expr review changes
2025-12-21 12:41:20 +00:00
..
t_config_libmap Support `-libmap` (#5891 partial) (#6764) 2025-12-16 11:21:46 -05:00
t_dist_attributes
t_flag_relinc_dir
t_hier_block_cmake
t_hier_block_import Tests: Rename control files to .vc. No test function change. 2025-11-22 12:09:22 -05:00
t_hier_trace_sub Tests: Rename control files to .vc. No test function change. 2025-11-22 12:09:22 -05:00
t_preproc_resolve
t_sv_bus_mux_demux
t_sv_cpu_code
tsub
uvm Tests: clang-format DPI and remove commented header 2025-12-11 21:07:00 -05:00
.gitattributes
TestCheck.h
TestSimulator.h
TestVpi.h Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
TestVpiMain.cpp
t_EXAMPLE.py
t_EXAMPLE.v
t_a1_first_cc.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_a1_first_cc.v
t_a2_first_sc.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_a3_selftest.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_a3_selftest_thread.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_a6_examples.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_alias_cyclic_bad.out
t_alias_cyclic_bad.py
t_alias_cyclic_bad.v
t_alias_force.py
t_alias_force.v
t_alias_hier_ref_bad.out
t_alias_hier_ref_bad.py
t_alias_hier_ref_bad.v
t_alias_ports_unsup.out
t_alias_ports_unsup.py
t_alias_ports_unsup.v
t_alias_simple.py
t_alias_simple.v
t_alias_sub_select.py
t_alias_sub_select.v
t_alias_transitive.py
t_alias_transitive.v
t_alias_tristate_unsup.out
t_alias_tristate_unsup.py
t_alias_tristate_unsup.v
t_alias_unsup.out
t_alias_unsup.py
t_alias_unsup.v
t_alias_var_bad.out
t_alias_var_bad.py
t_alias_var_bad.v
t_alias_width_bad.out
t_alias_width_bad.py
t_alias_width_bad.v
t_altera_lpm.v
t_altera_lpm_abs.py
t_altera_lpm_add_sub.py
t_altera_lpm_and.py
t_altera_lpm_bustri.py
t_altera_lpm_clshift.py
t_altera_lpm_compare.py
t_altera_lpm_constant.py
t_altera_lpm_counter.py
t_altera_lpm_decode.py
t_altera_lpm_divide.py
t_altera_lpm_ff.py
t_altera_lpm_fifo.py
t_altera_lpm_fifo_dc.py
t_altera_lpm_inv.py
t_altera_lpm_latch.py
t_altera_lpm_mult.py
t_altera_lpm_mult_noinl.py
t_altera_lpm_mux.py
t_altera_lpm_or.py
t_altera_lpm_ram_dp.py
t_altera_lpm_ram_dq.py
t_altera_lpm_ram_io.py
t_altera_lpm_rom.py
t_altera_lpm_shiftreg.py
t_altera_lpm_xor.py
t_alw_combdly.py
t_alw_combdly.v
t_alw_dly.py
t_alw_dly.v
t_alw_noreorder.py
t_alw_nosplit.py
t_alw_nosplit.v
t_alw_reorder.py
t_alw_reorder.v
t_alw_reorder_inlined_func.py Fix reordering of function body inlined on RHS of <= NBA (#6780) 2025-12-08 18:42:52 +00:00
t_alw_reorder_inlined_func.v Fix reordering of function body inlined on RHS of <= NBA (#6780) 2025-12-08 18:42:52 +00:00
t_alw_reorder_no_acycsimp.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-27 19:16:53 -04:00
t_alw_sen_compare.py
t_alw_sen_compare.v
t_alw_split.py
t_alw_split.v
t_alw_split_cond.py
t_alw_split_cond.v
t_alw_split_rst.py
t_alw_split_rst.v
t_alw_splitord.py
t_alw_splitord.v
t_always_chg_first.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_always_chg_first.v Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_always_ff_never.py
t_always_ff_never.v
t_array_backw_index_bad.out
t_array_backw_index_bad.py
t_array_backw_index_bad.v
t_array_compare.py
t_array_compare.v
t_array_in_struct.py
t_array_in_struct.v
t_array_index_increment.py
t_array_index_increment.v
t_array_index_side.py
t_array_index_side.v
t_array_list_bad.out
t_array_list_bad.py
t_array_list_bad.v
t_array_mda.py
t_array_mda.v
t_array_method.py
t_array_method.v
t_array_method_bad.out
t_array_method_bad.py
t_array_method_bad.v
t_array_method_map.out
t_array_method_map.py
t_array_method_map.v
t_array_non_blocking_loop.py
t_array_non_blocking_loop.v
t_array_packed_endian.py
t_array_packed_endian.v
t_array_packed_sysfunct.py
t_array_packed_sysfunct.v
t_array_packed_write_read.py
t_array_packed_write_read.v
t_array_pattern_2d.py
t_array_pattern_2d.v
t_array_pattern_bad.out
t_array_pattern_bad.py
t_array_pattern_bad.v
t_array_pattern_bad2.out
t_array_pattern_bad2.py
t_array_pattern_bad2.v
t_array_pattern_bad3.out
t_array_pattern_bad3.py
t_array_pattern_bad3.v
t_array_pattern_enum.py
t_array_pattern_enum.v
t_array_pattern_packed.py
t_array_pattern_packed.v
t_array_pattern_scalar_bad.out
t_array_pattern_scalar_bad.py
t_array_pattern_scalar_bad.v
t_array_pattern_unpacked.py
t_array_pattern_unpacked.v
t_array_query.py
t_array_query.v
t_array_query_with.py
t_array_query_with.v
t_array_rev.py
t_array_rev.v
t_array_sel_wide.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_array_sel_wide.v Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_array_type_methods.py
t_array_type_methods.v
t_array_unpacked_public.py
t_array_unpacked_public.v
t_assert_always_unsup.out
t_assert_always_unsup.py
t_assert_always_unsup.v
t_assert_assert.py Support assert under assert (#6146). 2025-12-16 20:45:31 -05:00
t_assert_assert.v Support assert under assert (#6146). 2025-12-16 20:45:31 -05:00
t_assert_basic.py
t_assert_basic.v
t_assert_basic_cover.py
t_assert_basic_fail.py
t_assert_basic_off.py
t_assert_casez.py
t_assert_casez.v
t_assert_clock_event_unsup.out
t_assert_clock_event_unsup.py
t_assert_clock_event_unsup.v
t_assert_comp.out
t_assert_comp.py
t_assert_comp.v
t_assert_comp_bad.out
t_assert_comp_bad.py
t_assert_comp_bad.v
t_assert_cover.py
t_assert_cover.v
t_assert_cover_off.py
t_assert_ctl_arg.cpp
t_assert_ctl_arg.dat.out
t_assert_ctl_arg.out
t_assert_ctl_arg.py
t_assert_ctl_arg.v
t_assert_ctl_arg_noinl.py
t_assert_ctl_arg_unsup.out
t_assert_ctl_arg_unsup.py
t_assert_ctl_arg_unsup.v
t_assert_ctl_concurrent.py
t_assert_ctl_concurrent.v
t_assert_ctl_concurrent_noinl.py
t_assert_ctl_immediate.out
t_assert_ctl_immediate.py
t_assert_ctl_immediate.v
t_assert_ctl_immediate_noinl.py
t_assert_ctl_type_bad.out
t_assert_ctl_type_bad.py
t_assert_ctl_type_bad.v
t_assert_ctl_unsup.out
t_assert_ctl_unsup.py
t_assert_ctl_unsup.v
t_assert_disable_bad.out
t_assert_disable_bad.py
t_assert_disable_bad.v
t_assert_disable_count.py
t_assert_disable_count.v
t_assert_disable_iff.py
t_assert_disable_iff.v Fix `disable iff` in simple properties (#6783) 2025-12-09 07:18:32 -05:00
t_assert_disabled.py
t_assert_dup_bad.out
t_assert_dup_bad.py
t_assert_dup_bad.v
t_assert_elab.py
t_assert_elab.v
t_assert_elab_bad.py
t_assert_elab_p.out
t_assert_elab_p.py
t_assert_elab_p.v
t_assert_enabled_bad.py
t_assert_enabled_off.py
t_assert_enabled_on_bad.py
t_assert_future.py
t_assert_future.v
t_assert_future_bad.out
t_assert_future_bad.py
t_assert_future_bad.v
t_assert_future_unsup.out
t_assert_future_unsup.py
t_assert_future_unsup.v
t_assert_iff.py
t_assert_iff.v
t_assert_iff_bad1.py
t_assert_iff_bad2.py
t_assert_iff_clk_unsup.out
t_assert_iff_clk_unsup.py
t_assert_iff_clk_unsup.v
t_assert_imm_nz_bad.out
t_assert_imm_nz_bad.py
t_assert_imm_nz_bad.v
t_assert_implication.py
t_assert_implication.v Fix `disable iff` in simple properties (#6783) 2025-12-09 07:18:32 -05:00
t_assert_implication_bad.py
t_assert_implication_coverage.py Skip properties for expression coverage (#6830) 2025-12-17 13:51:40 -05:00
t_assert_implication_coverage.v Skip properties for expression coverage (#6830) 2025-12-17 13:51:40 -05:00
t_assert_inside_cond.py
t_assert_inside_cond.v
t_assert_inside_cond_bad.out
t_assert_inside_cond_bad.py
t_assert_on.v
t_assert_past.py
t_assert_past.v
t_assert_pre.py
t_assert_pre.v
t_assert_procedural_clk_bad.out
t_assert_procedural_clk_bad.py
t_assert_procedural_clk_bad.v
t_assert_question.py
t_assert_question.v
t_assert_sampled.py
t_assert_sampled.v
t_assert_synth.py
t_assert_synth.v
t_assert_synth_full.out
t_assert_synth_full.py
t_assert_synth_full.vlt
t_assert_synth_full_vlt.out
t_assert_synth_full_vlt.py
t_assert_synth_off.py
t_assert_synth_parallel.out
t_assert_synth_parallel.py
t_assert_synth_parallel.vlt
t_assert_synth_parallel_vlt.out
t_assert_synth_parallel_vlt.py
t_assert_unique_case.out
t_assert_unique_case.py
t_assert_unique_case_bad.out
t_assert_unique_case_bad.py
t_assert_unique_case_bad.v
t_assign_automatic_bad.out
t_assign_automatic_bad.py
t_assign_automatic_bad.v
t_assign_expr.py
t_assign_expr.v
t_assign_inline.py
t_assign_inline.v
t_assign_slice_overflow.py
t_assign_slice_overflow.v
t_assign_slice_overflow_ox.py
t_assigndly_deep_ref.py
t_assigndly_deep_ref.v
t_assigndly_deep_ref_array.py
t_assigndly_deep_ref_array.v
t_assigndly_dynamic.py
t_assigndly_dynamic.v
t_assigndly_dynamic_delay.py
t_assigndly_dynamic_nofork.py
t_assigndly_dynamic_notiming_bad.out
t_assigndly_dynamic_notiming_bad.py
t_assigndly_dynamic_notiming_bad.v
t_assigndly_task.py
t_assigndly_task.v
t_assoc.py
t_assoc.v
t_assoc2.py
t_assoc2.v
t_assoc_compare.py
t_assoc_compare.v
t_assoc_default_func.py
t_assoc_default_func.v
t_assoc_enum.py
t_assoc_enum.v
t_assoc_method.py
t_assoc_method.v
t_assoc_method_bad.out
t_assoc_method_bad.py
t_assoc_method_bad.v
t_assoc_method_map.out
t_assoc_method_map.py
t_assoc_method_map.v
t_assoc_nokey_bad.out
t_assoc_nokey_bad.py
t_assoc_nokey_bad.v
t_assoc_ref_type.py
t_assoc_ref_type.v
t_assoc_wildcard.py
t_assoc_wildcard.v
t_assoc_wildcard_bad.out
t_assoc_wildcard_bad.py
t_assoc_wildcard_bad.v
t_assoc_wildcard_map.out
t_assoc_wildcard_map.py
t_assoc_wildcard_map.v
t_assoc_wildcard_method.py
t_assoc_wildcard_method.v
t_attr.py
t_attr.v
t_attr_parenstar.py
t_attr_parenstar.v
t_benchmark_mux4k.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_benchmark_mux4k.v Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_benchmark_mux4k_onecpu.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_benchmark_sim.py Tests: Remove old benchmarksim, should use rtlmeter instead 2025-12-16 21:17:27 -05:00
t_bind.py
t_bind.v
t_bind2.py
t_bind2.v
t_bind_nfound.py
t_bind_nfound.v
t_bitsel_2d_slice.py
t_bitsel_2d_slice.v
t_bitsel_concat.py
t_bitsel_concat.v
t_bitsel_const_bad.out
t_bitsel_const_bad.py
t_bitsel_const_bad.v
t_bitsel_enum.py
t_bitsel_enum.v
t_bitsel_lvalue.py
t_bitsel_lvalue.v
t_bitsel_over32.py
t_bitsel_over32.v
t_bitsel_slice.py
t_bitsel_slice.v
t_bitsel_struct.py
t_bitsel_struct.v
t_bitsel_struct2.py
t_bitsel_struct2.v
t_bitsel_struct3.py
t_bitsel_struct3.v
t_bitsel_wire_array_bad.out
t_bitsel_wire_array_bad.py
t_bitsel_wire_array_bad.v
t_blocking.py
t_blocking.v
t_c_this.py
t_c_this.v
t_c_width_bad.out
t_c_width_bad.py
t_c_width_bad.v
t_case_66bits.py
t_case_66bits.v
t_case_66bits_no_const_eager.py
t_case_66bits_noexpand.py
t_case_auto1.py
t_case_auto1.v
t_case_call_count.py
t_case_call_count.v
t_case_deep.py
t_case_deep.v
t_case_default_bad.out
t_case_default_bad.py
t_case_default_bad.v
t_case_dupitems.py
t_case_dupitems.v
t_case_enum_complete.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_case_enum_complete.v
t_case_enum_complete_wildcard.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_case_enum_complete_wildcard.v
t_case_enum_emptyish.py
t_case_enum_emptyish.v
t_case_enum_incomplete_bad.out
t_case_enum_incomplete_bad.py
t_case_enum_incomplete_bad.v
t_case_enum_incomplete_wildcard_bad.out
t_case_enum_incomplete_wildcard_bad.py
t_case_enum_incomplete_wildcard_bad.v
t_case_genx_bad.out
t_case_genx_bad.py
t_case_genx_bad.v
t_case_group.py
t_case_group.v
t_case_huge.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-27 19:16:53 -04:00
t_case_huge.v
t_case_huge_nocase.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-27 19:16:53 -04:00
t_case_huge_noopt.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_case_huge_sub.v
t_case_huge_sub2.v
t_case_huge_sub3.v
t_case_huge_sub4.v
t_case_incrdecr.py
t_case_incrdecr.v
t_case_inside.py
t_case_inside.v
t_case_inside_bad.out
t_case_inside_bad.py
t_case_inside_bad.v
t_case_inside_call_count.py
t_case_inside_call_count.v
t_case_itemwidth.py
t_case_itemwidth.v
t_case_nest.py
t_case_nest.v
t_case_onehot.py
t_case_onehot.v
t_case_orig.py
t_case_orig.v
t_case_overlap_bad.out
t_case_overlap_bad.py
t_case_overlap_bad.v
t_case_reducer.py
t_case_reducer.v
t_case_string.py
t_case_string.v
t_case_string2.py
t_case_string2.v
t_case_unique_many.py
t_case_unique_many.v
t_case_wild.py
t_case_wild.v
t_case_write1.out
t_case_write1.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_case_write1.v
t_case_write1_noexpand.py
t_case_write1_tasks.v
t_case_write2.out
t_case_write2.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_case_write2.v
t_case_write2_tasks.v
t_case_x.py
t_case_x.v
t_case_x_bad.out
t_case_x_bad.py
t_case_x_bad.v
t_case_zx_bad.out
t_case_zx_bad.py
t_case_zx_bad.v
t_cast.py
t_cast.v
t_cast_class.py
t_cast_class.v
t_cast_class_incompat_bad.out
t_cast_class_incompat_bad.py
t_cast_class_incompat_bad.v
t_cast_param_logic.py
t_cast_param_logic.v
t_cast_param_type.py
t_cast_param_type.v
t_cast_signed.py
t_cast_signed.v
t_cast_size_bad.out
t_cast_size_bad.py
t_cast_size_bad.v
t_cast_stream.py
t_cast_stream.v
t_cast_types.py
t_cast_types.v
t_castdyn.py
t_castdyn.v Fix 'void(' mis-throwing assertion 2025-12-13 21:43:27 -05:00
t_castdyn_bbox.py
t_castdyn_castconst_bad.out
t_castdyn_castconst_bad.py
t_castdyn_castconst_bad.v
t_castdyn_enum.py
t_castdyn_enum.v
t_castdyn_run_bad.out Fix 'void(' mis-throwing assertion 2025-12-13 21:43:27 -05:00
t_castdyn_run_bad.py
t_castdyn_run_bad.v Fix 'void(' mis-throwing assertion 2025-12-13 21:43:27 -05:00
t_castdyn_unsup_bad.out
t_castdyn_unsup_bad.py
t_castdyn_unsup_bad.v
t_ccache_report.py Apply 'make format' 2025-11-07 13:14:00 +00:00
t_ccache_report__ccache_report_initial.out
t_ccache_report__ccache_report_rebuild.out
t_checker.py
t_checker.v
t_checker_top.py
t_checker_top.v
t_checker_unsup.out
t_checker_unsup.py
t_checker_unsup.v
t_class1.out
t_class1.py
t_class1.v
t_class2.py
t_class2.v
t_class_assign_bad.out
t_class_assign_bad.py
t_class_assign_bad.v
t_class_assign_cond.py
t_class_assign_cond.v
t_class_assign_cond_bad.out
t_class_assign_cond_bad.py
t_class_assign_cond_bad.v
t_class_builtin_bad.out
t_class_builtin_bad.py
t_class_builtin_bad.v
t_class_capitalization.py
t_class_capitalization.v
t_class_class.py
t_class_class.v
t_class_class_extends.py Fix class-in-class extends with parameters (#6773). 2025-12-07 13:38:25 -05:00
t_class_class_extends.v Fix class-in-class extends with parameters (#6773). 2025-12-07 13:38:25 -05:00
t_class_compare.py
t_class_compare.v
t_class_const.py
t_class_const.v
t_class_copy.py
t_class_copy.v
t_class_copy2.py
t_class_copy2.v
t_class_copy_bad.out
t_class_copy_bad.py
t_class_copy_bad.v
t_class_defaultparam_import.py
t_class_defaultparam_import.v
t_class_defaultparams.py
t_class_defaultparams.v
t_class_diamond.py
t_class_diamond.v
t_class_dict.py
t_class_dict.v
t_class_dyn_cast_empty_if.py
t_class_dyn_cast_empty_if.v
t_class_enum.py
t_class_enum.v
t_class_eq.py
t_class_eq.v
t_class_extends.py
t_class_extends.v
t_class_extends1.py
t_class_extends1.v
t_class_extends2.py
t_class_extends2.v
t_class_extends_alias.out Improve class extends error format 2025-11-16 21:00:13 -05:00
t_class_extends_alias.py Improve class extends error format 2025-11-16 21:00:13 -05:00
t_class_extends_alias.v Improve class extends error format 2025-11-16 21:00:13 -05:00
t_class_extends_aliased_real_bad.out
t_class_extends_aliased_real_bad.py
t_class_extends_aliased_real_bad.v
t_class_extends_arg.py
t_class_extends_arg.v
t_class_extends_arg_super_bad.out
t_class_extends_arg_super_bad.py
t_class_extends_arg_super_bad.v
t_class_extends_bad.out
t_class_extends_bad.py
t_class_extends_bad.v
t_class_extends_colon.py
t_class_extends_colon.v
t_class_extends_default.out
t_class_extends_default.py
t_class_extends_default.v
t_class_extends_int_param_bad.out
t_class_extends_int_param_bad.py
t_class_extends_int_param_bad.v
t_class_extends_nf_bad.out
t_class_extends_nf_bad.py
t_class_extends_nf_bad.v
t_class_extends_param.py
t_class_extends_param.v
t_class_extends_param_unused.py
t_class_extends_param_unused.v
t_class_extends_pkg_bad.out Improve class extends error format 2025-11-16 21:00:13 -05:00
t_class_extends_pkg_bad.py Improve class extends error format 2025-11-16 21:00:13 -05:00
t_class_extends_pkg_bad.v Improve class extends error format 2025-11-16 21:00:13 -05:00
t_class_extends_protect_ids.py
t_class_extends_rec_bad.out
t_class_extends_rec_bad.py
t_class_extends_rec_bad.v
t_class_extends_this.py
t_class_extends_this.v
t_class_extends_this3.py
t_class_extends_this3.v
t_class_extends_this_protect_ids.py
t_class_extends_vsyment.py
t_class_extends_vsyment.v
t_class_extern.py
t_class_extern.v
t_class_extern2.py Fix extern function that returns parametrized class (#4924). 2025-12-06 16:16:06 -05:00
t_class_extern2.v Fix extern function that returns parametrized class (#4924). 2025-12-06 16:16:06 -05:00
t_class_extern_args.py
t_class_extern_args_bad.out Spelling fixes 2025-11-08 16:09:45 -05:00
t_class_extern_args_bad.py
t_class_extern_args_bad.v
t_class_extern_bad.out
t_class_extern_bad.py
t_class_extern_bad.v
t_class_extern_typeref.py
t_class_extern_typeref.v
t_class_field_name.py
t_class_field_name.v
t_class_format.out
t_class_format.py
t_class_format.v
t_class_forward.py
t_class_forward.v
t_class_func_arg_unused.py
t_class_func_arg_unused.v
t_class_func_dot.py
t_class_func_dot.v
t_class_func_nvoid_bad.out
t_class_func_nvoid_bad.py
t_class_func_nvoid_bad.v
t_class_func_static_bad.out
t_class_func_static_bad.py
t_class_func_static_bad.v
t_class_fwd_cc.py
t_class_fwd_cc.v
t_class_hier_construction.py
t_class_hier_construction.v
t_class_if_assign.py
t_class_if_assign.v
t_class_imp2.py
t_class_imp2.v
t_class_inc.py
t_class_inc.v
t_class_link_delay.py
t_class_link_delay.v
t_class_link_delay2.py
t_class_link_delay2.v
t_class_local.py
t_class_local.v
t_class_local_bad.out
t_class_local_bad.py
t_class_local_bad.v
t_class_local_nested_bad.out
t_class_local_nested_bad.py
t_class_local_nested_bad.v
t_class_local_protect_ids.py
t_class_local_typedef_bad.out
t_class_local_typedef_bad.py
t_class_local_typedef_bad.v
t_class_member_bad.out
t_class_member_bad.py
t_class_member_bad.v
t_class_member_bad2.out
t_class_member_bad2.py
t_class_member_bad2.v
t_class_member_sens.py
t_class_member_sens.v
t_class_member_var_virt_bad.out
t_class_member_var_virt_bad.py
t_class_member_var_virt_bad.v
t_class_membersel_int.py
t_class_membersel_int.v
t_class_method.py
t_class_method.v
t_class_method_bad.out
t_class_method_bad.py
t_class_method_bad.v
t_class_method_str_literal.py
t_class_method_str_literal.v
t_class_method_struct.py
t_class_method_struct.v
t_class_mispure_bad.out
t_class_mispure_bad.py
t_class_mispure_bad.v
t_class_misstatic_bad.out
t_class_misstatic_bad.py
t_class_misstatic_bad.v
t_class_mod_bad.out
t_class_mod_bad.py
t_class_mod_bad.v
t_class_modscope.py
t_class_modscope.v
t_class_module.py
t_class_module.v
t_class_name.py
t_class_name.v
t_class_nested.py
t_class_nested.v
t_class_nested_link.py
t_class_nested_link.v
t_class_new.py
t_class_new.v
t_class_new_bad.out
t_class_new_bad.py
t_class_new_bad.v
t_class_new_default.out
t_class_new_default.py
t_class_new_default.v
t_class_new_noparen.py
t_class_new_noparen.v
t_class_new_ref_bad.out
t_class_new_ref_bad.py
t_class_new_ref_bad.v
t_class_new_return.py
t_class_new_return.v
t_class_new_scoped.py
t_class_new_scoped.v
t_class_new_scoped_bad.out
t_class_new_scoped_bad.py
t_class_new_scoped_bad.v
t_class_new_supernfirst_bad.out Add SUPERNFIRST error on super.new on non-first statement (#6784). 2025-12-09 19:22:59 -05:00
t_class_new_supernfirst_bad.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_class_new_supernfirst_bad.v Add SUPERNFIRST error on super.new on non-first statement (#6784). 2025-12-09 19:22:59 -05:00
t_class_new_this.py
t_class_new_this.v
t_class_new_typed.py
t_class_new_typed.v
t_class_null_bad.out
t_class_null_bad.py
t_class_null_bad.v
t_class_null_struct.py
t_class_null_struct.v
t_class_override.py
t_class_override.v
t_class_override_bad.out
t_class_override_bad.py
t_class_override_bad.v
t_class_package.py
t_class_package.v
t_class_packed.py
t_class_packed.v
t_class_param.py
t_class_param.v
t_class_param_bad1.out
t_class_param_bad1.py
t_class_param_bad1.v
t_class_param_bad2.out
t_class_param_bad2.py
t_class_param_bad2.v
t_class_param_bad_paren.out
t_class_param_bad_paren.py
t_class_param_bad_paren.v
t_class_param_circ_bad.out
t_class_param_circ_bad.py
t_class_param_circ_bad.v
t_class_param_comma_bad.out
t_class_param_comma_bad.py
t_class_param_comma_bad.v
t_class_param_enum.py
t_class_param_enum.v
t_class_param_enum_bad.out
t_class_param_enum_bad.py
t_class_param_enum_bad.v
t_class_param_extends.py
t_class_param_extends.v
t_class_param_extends2.py
t_class_param_extends2.v
t_class_param_extends3.py
t_class_param_extends3.v
t_class_param_extends_static_member_function_access.py
t_class_param_extends_static_member_function_access.v
t_class_param_extra_bad.out
t_class_param_extra_bad.py
t_class_param_extra_bad.v
t_class_param_func_return.py
t_class_param_func_return.v
t_class_param_lvalue.py
t_class_param_lvalue.v
t_class_param_mailbox.py
t_class_param_mailbox.v
t_class_param_mod.py
t_class_param_mod.v
t_class_param_nconst_bad.out
t_class_param_nconst_bad.py
t_class_param_nconst_bad.v
t_class_param_nested_bad.out
t_class_param_nested_bad.py
t_class_param_nested_bad.v
t_class_param_noinit.py
t_class_param_noinit.v
t_class_param_noinit_bad.out
t_class_param_noinit_bad.py
t_class_param_noinit_bad.v
t_class_param_override_local_bad.out
t_class_param_override_local_bad.py
t_class_param_override_local_bad.v
t_class_param_pkg.py
t_class_param_pkg.v
t_class_param_rewrite.py
t_class_param_rewrite.v
t_class_param_static.py Fix class param static not found again error (#6768). 2025-12-08 20:11:28 -05:00
t_class_param_static.v Fix class param static not found again error (#6768). 2025-12-08 20:11:28 -05:00
t_class_param_subtype.v
t_class_param_subtype2.py
t_class_param_subtype2.v
t_class_param_subtype_bad_paren.out
t_class_param_subtype_bad_paren.py
t_class_param_subtype_constsim.py
t_class_param_super.py
t_class_param_super.v
t_class_param_type.py
t_class_param_type.v
t_class_param_typedef.py
t_class_param_typedef.v
t_class_param_typedef2.py
t_class_param_typedef2.v
t_class_param_typedef3.py Fix parameterized class function (#6659) (#6802) 2025-12-12 04:17:08 -08:00
t_class_param_typedef3.v Fix parameterized class function (#6659) (#6802) 2025-12-12 04:17:08 -08:00
t_class_param_typedef4.py Fix parameterized class function (#6659) (#6802) 2025-12-12 04:17:08 -08:00
t_class_param_typedef4.v Fix parameterized class function (#6659) (#6802) 2025-12-12 04:17:08 -08:00
t_class_param_typedef5.py Fix resolution of specialized typedefs (#6754) (#6808) 2025-12-12 15:20:15 -05:00
t_class_param_typedef5.v Fix type deduction for variable parameterized classes (#6281) (#6813) 2025-12-17 08:08:44 -05:00
t_class_param_typedef6.py Fix resolution of specialized typedefs (#6754) (#6808) 2025-12-12 15:20:15 -05:00
t_class_param_typedef6.v Fix type deduction for variable parameterized classes (#6281) (#6813) 2025-12-17 08:08:44 -05:00
t_class_param_unused_default.py
t_class_param_unused_default.v
t_class_param_upcast.py
t_class_param_upcast.v
t_class_param_virtual_bad.out
t_class_param_virtual_bad.py
t_class_param_virtual_bad.v
t_class_ref_as_arg_cast.py
t_class_ref_as_arg_cast.v
t_class_ref_bad.out
t_class_ref_bad.py
t_class_ref_bad.v
t_class_ref_ref.py
t_class_ref_ref.v
t_class_reference_name_colision.py
t_class_reference_name_colision.v
t_class_scope_import_bad.out
t_class_scope_import_bad.py
t_class_scope_import_bad.v
t_class_short_circuit.py
t_class_short_circuit.v
t_class_split.py
t_class_split.v
t_class_static.py
t_class_static.v
t_class_static_default_arg.py
t_class_static_default_arg.v
t_class_static_member.py
t_class_static_member.v
t_class_static_member_pkg.py
t_class_static_member_pkg.v
t_class_static_member_sel.py
t_class_static_member_sel.v
t_class_static_method.py
t_class_static_method.v
t_class_static_method_protect_ids.py
t_class_static_order.py
t_class_static_order.v
t_class_super_bad.out
t_class_super_bad.py
t_class_super_bad.v
t_class_super_bad2.out
t_class_super_bad2.py
t_class_super_bad2.v
t_class_super_bad3.out
t_class_super_bad3.py
t_class_super_bad3.v
t_class_super_new.py
t_class_super_new.v
t_class_super_new2.py
t_class_super_new2.v
t_class_super_new3.py
t_class_super_new3.v
t_class_super_new_bad_nfirst.out
t_class_super_new_bad_nfirst.py
t_class_super_new_bad_nfirst.v
t_class_super_new_noextend_bad.out Fix crash when super.new() called without a base class (#6772) 2025-12-06 18:08:51 -05:00
t_class_super_new_noextend_bad.py Fix crash when super.new() called without a base class (#6772) 2025-12-06 18:08:51 -05:00
t_class_super_new_noextend_bad.v Fix crash when super.new() called without a base class (#6772) 2025-12-06 18:08:51 -05:00
t_class_this_constructor.py
t_class_this_constructor.v
t_class_this_super.py
t_class_this_super.v
t_class_to_basic_assignment_bad.out
t_class_to_basic_assignment_bad.py
t_class_to_basic_assignment_bad.v
t_class_typedef.py
t_class_typedef.v
t_class_unsup_bad.out
t_class_unsup_bad.py
t_class_unsup_bad.v
t_class_uses_this.py
t_class_uses_this.v
t_class_uses_this_bad.out
t_class_uses_this_bad.py
t_class_uses_this_bad.v
t_class_virtual.py
t_class_virtual.v
t_class_virtual_bad.out
t_class_virtual_bad.py
t_class_virtual_bad.v
t_class_virtual_chain_ctor.py
t_class_virtual_chain_ctor.v
t_class_virtual_protect_ids.py
t_class_virtual_pure.py
t_class_virtual_pure.v Tests (#6698 testcase only) 2025-11-16 17:16:21 -05:00
t_class_virtual_pure_bad.out
t_class_virtual_pure_bad.py
t_class_virtual_pure_bad.v
t_class_vparam.py
t_class_vparam.v
t_class_wide.py
t_class_wide.v
t_clk_2in.cpp
t_clk_2in.py
t_clk_2in.v
t_clk_2in_vec.py
t_clk_concat.py
t_clk_concat.v
t_clk_concat.vlt
t_clk_concat2.py
t_clk_concat2.v
t_clk_concat3.py
t_clk_concat3.v
t_clk_concat4.py
t_clk_concat4.v
t_clk_concat5.py
t_clk_concat5.v
t_clk_concat6.py
t_clk_concat6.v
t_clk_concat_vlt.py
t_clk_condflop.py
t_clk_condflop.v
t_clk_dpulse.py
t_clk_dpulse.v
t_clk_dsp.py
t_clk_dsp.v
t_clk_first.py
t_clk_first.v
t_clk_first_bad.out Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_clk_first_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_clk_first_deprecated.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_clk_first_deprecated.v
t_clk_gate_ext.py
t_clk_gate_ext.v
t_clk_gated_1.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_clk_gated_1.v Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_clk_gater.py
t_clk_gater.v
t_clk_gen.py
t_clk_gen.v
t_clk_inp_init.cpp
t_clk_inp_init.py
t_clk_inp_init.v
t_clk_latch.py
t_clk_latch.v
t_clk_latch_edgestyle.py
t_clk_latchgate.py
t_clk_latchgate.v
t_clk_powerdn.py
t_clk_powerdn.v
t_clk_scope_bad.py
t_clk_scope_bad.v
t_clk_vecgen1.py
t_clk_vecgen1.v
t_clk_vecgen2.py
t_clk_vecgen3.py
t_clocked_release_combo.py
t_clocked_release_combo.v
t_clocker.out
t_clocker.py
t_clocker.v
t_clocking_bad1.out
t_clocking_bad1.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_clocking_bad1.v
t_clocking_bad2.out Support clocking output delay `1step` (#6681). 2025-11-22 17:40:40 -05:00
t_clocking_bad2.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_clocking_bad2.v Support clocking output delay `1step` (#6681). 2025-11-22 17:40:40 -05:00
t_clocking_bad3.out
t_clocking_bad3.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_clocking_bad3.v
t_clocking_bad4.out
t_clocking_bad4.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_clocking_bad4.v
t_clocking_bad5.out
t_clocking_bad5.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_clocking_bad5.v
t_clocking_concat.py
t_clocking_concat.v
t_clocking_empty_block.py
t_clocking_empty_block.v
t_clocking_inout.py
t_clocking_inout.v Fix input sampling of clocking block signals (#6788) 2025-12-10 10:59:08 -05:00
t_clocking_input_0_delay.py Fix input sampling of clocking block signals (#6788) 2025-12-10 10:59:08 -05:00
t_clocking_input_0_delay.v Fix input sampling of clocking block signals (#6788) 2025-12-10 10:59:08 -05:00
t_clocking_input_default.py Fix input sampling of clocking block signals (#6788) 2025-12-10 10:59:08 -05:00
t_clocking_input_default.v Fix input sampling of clocking block signals (#6788) 2025-12-10 10:59:08 -05:00
t_clocking_notiming.out
t_clocking_notiming.py
t_clocking_notiming.v
t_clocking_out_on_change.py
t_clocking_out_on_change.v
t_clocking_react.py
t_clocking_react.v
t_clocking_sched.out
t_clocking_sched.py
t_clocking_sched.v
t_clocking_sched_timing.out
t_clocking_sched_timing.py
t_clocking_sched_timing_forkproc.out
t_clocking_sched_timing_forkproc.py
t_clocking_timing.v
t_clocking_timing1.py
t_clocking_timing2.py
t_clocking_unsup1.out
t_clocking_unsup1.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_clocking_unsup1.v
t_clocking_unsup2.out
t_clocking_unsup2.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_clocking_unsup2.v
t_clocking_virtual.py
t_clocking_virtual.v Fix input sampling of clocking block signals (#6788) 2025-12-10 10:59:08 -05:00
t_clocking_xref.py
t_clocking_xref.v
t_comb_do_not_convert_to.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_comb_do_not_convert_to.v Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_comb_input_0.cpp
t_comb_input_0.py
t_comb_input_0.v
t_comb_input_1.cpp
t_comb_input_1.py
t_comb_input_1.v
t_comb_input_2.cpp
t_comb_input_2.py
t_comb_input_2.v
t_comb_loop_through_unpacked_array.py
t_comb_loop_through_unpacked_array.v
t_compiler_include.cpp
t_compiler_include.h
t_compiler_include.py
t_compiler_include.v
t_compiler_include_dpi.cpp
t_compiler_include_dpi.h
t_compiler_include_dpi.py
t_compiler_include_dpi.v
t_compiler_include_dpi_split.py
t_compiler_include_split.py
t_concat_casts.py
t_concat_casts.v
t_concat_impure.py
t_concat_impure.v
t_concat_large.py
t_concat_large.v
t_concat_large_bad.out
t_concat_large_bad.py
t_concat_large_bad.v
t_concat_link_bad.out
t_concat_link_bad.py
t_concat_link_bad.v
t_concat_opt.py
t_concat_opt.v
t_concat_or.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_concat_or.v
t_concat_sel.py
t_concat_sel.v
t_concat_string.py
t_concat_string.v
t_concat_unpack.py
t_concat_unpack.v
t_config_default.out Support `config` default liblist (#6714) 2025-11-20 06:38:46 -05:00
t_config_default.py Apply 'make format' 2025-11-20 11:39:49 +00:00
t_config_default.v Support `config` default liblist (#6714) 2025-11-20 06:38:46 -05:00
t_config_hier.out Support `config` instance clauses (#5891 partial) (#6745) 2025-12-06 09:11:20 -05:00
t_config_hier.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_config_hier.v Support `config` instance clauses (#5891 partial) (#6745) 2025-12-06 09:11:20 -05:00
t_config_include_bad.out
t_config_include_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_config_include_bad.v
t_config_inst.out Support `config` instance clauses (#5891 partial) (#6745) 2025-12-06 09:11:20 -05:00
t_config_inst.py Support `config` instance clauses (#5891 partial) (#6745) 2025-12-06 09:11:20 -05:00
t_config_inst.v Support `config` instance clauses (#5891 partial) (#6745) 2025-12-06 09:11:20 -05:00
t_config_inst_missing.out Support `config` instance clauses (#5891 partial) (#6745) 2025-12-06 09:11:20 -05:00
t_config_inst_missing.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_config_inst_missing.v Support `config` instance clauses (#5891 partial) (#6745) 2025-12-06 09:11:20 -05:00
t_config_liblist.out Support `config` cell clauses (#6717) 2025-11-22 20:17:17 -05:00
t_config_liblist.py Support `config` cell clauses (#6717) 2025-11-22 20:17:17 -05:00
t_config_liblist.v Support `config` cell clauses (#6717) 2025-11-22 20:17:17 -05:00
t_config_libmap.out Support `-libmap` (#5891 partial) (#6764) 2025-12-16 11:21:46 -05:00
t_config_libmap.py Support `-libmap` (#5891 partial) (#6764) 2025-12-16 11:21:46 -05:00
t_config_libmap.v Support `-libmap` (#5891 partial) (#6764) 2025-12-16 11:21:46 -05:00
t_config_libmap_inc.map Support `-libmap` (#5891 partial) (#6764) 2025-12-16 11:21:46 -05:00
t_config_libmap_inc.out Support `-libmap` (#5891 partial) (#6764) 2025-12-16 11:21:46 -05:00
t_config_libmap_inc.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_config_libmap_inc.v Support `-libmap` (#5891 partial) (#6764) 2025-12-16 11:21:46 -05:00
t_config_multitop.py Support `--top` specifying `config` name (#6710) 2025-11-19 20:23:02 -05:00
t_config_multitop.v Support `--top` specifying `config` name (#6710) 2025-11-19 20:23:02 -05:00
t_config_param.out Tests: Add more 'config' unsupported tests (#5891 partial) 2025-11-12 18:37:09 -05:00
t_config_param.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_config_param.v Tests: Add more 'config' unsupported tests (#5891 partial) 2025-11-12 18:37:09 -05:00
t_config_rules.out Support `config` cell clauses (#6717) 2025-11-22 20:17:17 -05:00
t_config_rules.py Support `config` instance clauses (#5891 partial) (#6745) 2025-12-06 09:11:20 -05:00
t_config_rules.v Tests: Add more 'config' unsupported tests (#5891 partial) 2025-11-12 18:37:09 -05:00
t_config_rules_sub.v Tests: Add more 'config' unsupported tests (#5891 partial) 2025-11-12 18:37:09 -05:00
t_config_top.py Support `--top` specifying `config` name (#6710) 2025-11-19 20:23:02 -05:00
t_config_top.v Tests: Add more 'config' unsupported tests (#5891 partial) 2025-11-12 18:37:09 -05:00
t_config_top2.py Support `--top` specifying `config` name (#6710) 2025-11-19 20:23:02 -05:00
t_config_top2.v Support `--top` specifying `config` name (#6710) 2025-11-19 20:23:02 -05:00
t_config_work.map Support `-libmap` (#5891 partial) (#6764) 2025-12-16 11:21:46 -05:00
t_config_work.out
t_config_work.py Support `-libmap` (#5891 partial) (#6764) 2025-12-16 11:21:46 -05:00
t_config_work.v Tests: Add more 'config' unsupported tests (#5891 partial) 2025-11-12 18:37:09 -05:00
t_config_work__liba.v
t_config_work__libb.v
t_const.py
t_const.v
t_const_bad.out
t_const_bad.py
t_const_bad.v
t_const_bitoptree_bug3096.cpp
t_const_bitoptree_bug3096.py
t_const_bitoptree_bug3096.v
t_const_dec_mixed_bad.out
t_const_dec_mixed_bad.py
t_const_dec_mixed_bad.v
t_const_hi.py
t_const_hi.v
t_const_number_bad.out
t_const_number_bad.py
t_const_number_bad.v
t_const_number_unsized.py
t_const_number_unsized.v
t_const_number_unsized_parse.py
t_const_number_v_bad.out
t_const_number_v_bad.py
t_const_number_v_bad.v
t_const_op_red_scope.py
t_const_op_red_scope.v
t_const_overflow_bad.out
t_const_overflow_bad.py
t_const_overflow_bad.v
t_const_sel_sel_extend.py
t_const_sel_sel_extend.v
t_const_slicesel.py
t_const_slicesel.v
t_const_slicesel_bad.out
t_const_slicesel_bad.py
t_const_slicesel_bad.v
t_const_string_func.py
t_const_string_func.v
t_constraint.py
t_constraint.v
t_constraint_assoc_arr_bad.out
t_constraint_assoc_arr_bad.py
t_constraint_assoc_arr_bad.v
t_constraint_assoc_arr_basic.py
t_constraint_assoc_arr_basic.v
t_constraint_assoc_arr_others.py
t_constraint_assoc_arr_others.v
t_constraint_assoc_arr_wide.py
t_constraint_assoc_arr_wide.v
t_constraint_before_randc_bad.out
t_constraint_before_randc_bad.py
t_constraint_before_randc_bad.v
t_constraint_countones.py
t_constraint_countones.v
t_constraint_dist.py
t_constraint_dist.v
t_constraint_dist_randc_bad.out
t_constraint_dist_randc_bad.py
t_constraint_dist_randc_bad.v
t_constraint_dyn_queue_basic.py
t_constraint_dyn_queue_basic.v
t_constraint_extern.py
t_constraint_extern.v
t_constraint_extern_bad.out
t_constraint_extern_bad.py
t_constraint_extern_bad.v
t_constraint_foreach.py
t_constraint_foreach.v
t_constraint_global_arr_unsup.out Support General Global Constraints (#6709) (#6711) 2025-11-19 11:08:42 -05:00
t_constraint_global_arr_unsup.py Support basic global constraints (#6551) (#6552) 2025-11-05 07:14:03 -05:00
t_constraint_global_arr_unsup.v Support basic global constraints (#6551) (#6552) 2025-11-05 07:14:03 -05:00
t_constraint_global_nested.py Support General Global Constraints (#6709) (#6711) 2025-11-19 11:08:42 -05:00
t_constraint_global_nested.v Support General Global Constraints (#6709) (#6711) 2025-11-19 11:08:42 -05:00
t_constraint_global_nested_member.py Fix write variable placement for global constraints (#6740) (#6750) (#6797) 2025-12-11 14:16:34 -05:00
t_constraint_global_nested_member.v Fix write variable placement for global constraints (#6740) (#6750) (#6797) 2025-12-11 14:16:34 -05:00
t_constraint_global_randMode.py Support rand_mode in global constraint gathering (#6740) (#6752) 2025-12-04 07:16:03 -05:00
t_constraint_global_randMode.v Fix member select of variable without randmode (#6800) (#6833) 2025-12-18 06:49:04 -05:00
t_constraint_global_random.py Support basic global constraints (#6551) (#6552) 2025-11-05 07:14:03 -05:00
t_constraint_global_random.v Support basic global constraints (#6551) (#6552) 2025-11-05 07:14:03 -05:00
t_constraint_global_random_simple.py Support General Global Constraints (#6709) (#6711) 2025-11-19 11:08:42 -05:00
t_constraint_global_random_simple.v Support General Global Constraints (#6709) (#6711) 2025-11-19 11:08:42 -05:00
t_constraint_inheritance.py
t_constraint_inheritance.v
t_constraint_inheritance_with.py
t_constraint_inheritance_with.v
t_constraint_json_only.out Support complex std::randomize patterns (#6736) (#6737) 2025-12-01 16:26:16 -05:00
t_constraint_json_only.py
t_constraint_json_only.v
t_constraint_method_bad.out
t_constraint_method_bad.py
t_constraint_method_bad.v
t_constraint_mode.py
t_constraint_mode.v
t_constraint_mode_bad.out
t_constraint_mode_bad.py
t_constraint_mode_bad.v
t_constraint_mode_unsup.out
t_constraint_mode_unsup.py
t_constraint_mode_unsup.v
t_constraint_nosolver_bad.py
t_constraint_operators.py
t_constraint_operators.v
t_constraint_pure.py
t_constraint_pure.v
t_constraint_pure_missing_bad.out
t_constraint_pure_missing_bad.py
t_constraint_pure_missing_bad.v
t_constraint_pure_nonabs_bad.out
t_constraint_pure_nonabs_bad.py
t_constraint_pure_nonabs_bad.v
t_constraint_soft_randc_bad.out
t_constraint_soft_randc_bad.py
t_constraint_soft_randc_bad.v
t_constraint_state.py
t_constraint_state.v
t_constraint_struct.py
t_constraint_struct.v
t_constraint_struct_complex.py
t_constraint_struct_complex.v
t_constraint_unpacked_array.py
t_constraint_unpacked_array.v
t_constraint_unsup.out
t_constraint_unsup.py
t_constraint_unsup.v
t_constraint_xml.out Fix multitop cross references (#6699). 2025-11-26 06:09:29 -05:00
t_constraint_xml.py
t_constraint_xml.v
t_cover_assert.out Support assert under assert (#6146). 2025-12-16 20:45:31 -05:00
t_cover_assert.py
t_cover_assert.v
t_cover_const_compare.py
t_cover_const_compare.v
t_cover_expr.out Fix function call expression coverage (#6589) 2025-10-29 19:26:46 -04:00
t_cover_expr.py
t_cover_expr.v
t_cover_expr_array_class.py
t_cover_expr_array_class.v
t_cover_expr_associative_array_class.py
t_cover_expr_associative_array_class.v
t_cover_expr_dyn_array_class.py
t_cover_expr_dyn_array_class.v
t_cover_expr_max.out Fix function call expression coverage (#6589) 2025-10-29 19:26:46 -04:00
t_cover_expr_max.py
t_cover_expr_queue_class.py
t_cover_expr_queue_class.v
t_cover_expr_trace.out Fix function call expression coverage (#6589) 2025-10-29 19:26:46 -04:00
t_cover_expr_trace.py
t_cover_lib.py
t_cover_lib.v
t_cover_lib__1.out
t_cover_lib__1_per_instance.out
t_cover_lib__2.out
t_cover_lib__3.out
t_cover_lib__4.out
t_cover_lib_c.cpp
t_cover_lib_legacy.py
t_cover_line.out Fix merging of impure assignments in gate optimization (#6629) (#6630) 2025-11-03 07:29:39 -05:00
t_cover_line.v Fix merging of impure assignments in gate optimization (#6629) (#6630) 2025-11-03 07:29:39 -05:00
t_cover_line.vlt
t_cover_line_cc.info.out Fix merging of impure assignments in gate optimization (#6629) (#6630) 2025-11-03 07:29:39 -05:00
t_cover_line_cc.py
t_cover_line_cc_vlt.py
t_cover_line_expr.out Fix function call expression coverage (#6589) 2025-10-29 19:26:46 -04:00
t_cover_line_expr_cc.py
t_cover_line_sc.py
t_cover_line_trace.out Fix merging of impure assignments in gate optimization (#6629) (#6630) 2025-11-03 07:29:39 -05:00
t_cover_line_trace.py
t_cover_line_tri_gate_cond.py
t_cover_line_wide_ternary.out
t_cover_line_wide_ternary.py
t_cover_line_wide_ternary.v
t_cover_main.out
t_cover_main.py
t_cover_main.v
t_cover_sva_notflat.py
t_cover_sva_notflat.v
t_cover_sva_trace.out
t_cover_sva_trace.py
t_cover_sys_line_expr.out Fix expression coverage of system calls (#6592) 2025-11-06 08:23:35 -05:00
t_cover_sys_line_expr.py Fix expression coverage of system calls (#6592) 2025-11-06 08:23:35 -05:00
t_cover_sys_line_expr.v Fix expression coverage of system calls (#6592) 2025-11-06 08:23:35 -05:00
t_cover_sys_unsup.out
t_cover_sys_unsup.py
t_cover_sys_unsup.v
t_cover_toggle.out Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_cover_toggle.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_cover_toggle.v Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_cover_toggle__all.out Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_cover_toggle__points.out Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_cover_toggle_min.info.out
t_cover_toggle_min.py
t_cover_toggle_min.v
t_cover_toggle_underscore.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_cover_toggle_width.py
t_cover_trace_always.out
t_cover_trace_always.py
t_cover_trace_always.v
t_cover_unused_bad.out
t_cover_unused_bad.py
t_cover_unused_bad.v
t_covergroup_args.py
t_covergroup_args.v
t_covergroup_coverpoints_unsup.out Support parsing only of covergroup.option.option_name 2025-12-04 21:55:55 -05:00
t_covergroup_coverpoints_unsup.py
t_covergroup_coverpoints_unsup.v
t_covergroup_extends.py
t_covergroup_extends.v
t_covergroup_extends_newfirst.py
t_covergroup_extends_newfirst.v
t_covergroup_func_override_bad.out
t_covergroup_func_override_bad.py
t_covergroup_func_override_bad.v
t_covergroup_in_class.py
t_covergroup_in_class.v
t_covergroup_in_class_colliding.py
t_covergroup_in_class_colliding.v
t_covergroup_in_class_duplicate_bad.out
t_covergroup_in_class_duplicate_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_covergroup_in_class_duplicate_bad.v
t_covergroup_in_class_with_sample.py
t_covergroup_in_class_with_sample.v
t_covergroup_method_bad.out Support parsing only of covergroup.option.option_name 2025-12-04 21:55:55 -05:00
t_covergroup_method_bad.py Support parsing only of covergroup.option.option_name 2025-12-04 21:55:55 -05:00
t_covergroup_method_bad.v Support parsing only of covergroup.option.option_name 2025-12-04 21:55:55 -05:00
t_covergroup_new_override_bad.out
t_covergroup_new_override_bad.py
t_covergroup_new_override_bad.v
t_covergroup_option.py Support parsing only of covergroup.option.option_name 2025-12-04 21:55:55 -05:00
t_covergroup_option.v Support parsing only of covergroup.option.option_name 2025-12-04 21:55:55 -05:00
t_covergroup_option_bad.out Support parsing only of covergroup.option.option_name 2025-12-04 21:55:55 -05:00
t_covergroup_option_bad.py Support parsing only of covergroup.option.option_name 2025-12-04 21:55:55 -05:00
t_covergroup_option_bad.v Support parsing only of covergroup.option.option_name 2025-12-04 21:55:55 -05:00
t_covergroup_option_bad2.out Support parsing only of covergroup.option.option_name 2025-12-04 21:55:55 -05:00
t_covergroup_option_bad2.py Support parsing only of covergroup.option.option_name 2025-12-04 21:55:55 -05:00
t_covergroup_option_bad2.v Support parsing only of covergroup.option.option_name 2025-12-04 21:55:55 -05:00
t_covergroup_unsup.out Support parsing only of covergroup.option.option_name 2025-12-04 21:55:55 -05:00
t_covergroup_unsup.py
t_covergroup_unsup.v Support parsing of dotted bins_expression (#6683) 2025-11-14 06:41:46 -05:00
t_covergroup_unsup_ign.py
t_covergroup_with_function_foo_bad.out
t_covergroup_with_function_foo_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_covergroup_with_function_foo_bad.v
t_covergroup_with_sample_args.py
t_covergroup_with_sample_args.v
t_covergroup_with_sample_args_default.py
t_covergroup_with_sample_args_default.v
t_covergroup_with_sample_args_too_few_bad.out
t_covergroup_with_sample_args_too_few_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_covergroup_with_sample_args_too_few_bad.v
t_covergroup_with_sample_args_too_many_bad.out
t_covergroup_with_sample_args_too_many_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_covergroup_with_sample_args_too_many_bad.v
t_covergroup_with_sample_namedargs.py
t_covergroup_with_sample_namedargs.v
t_covergroup_with_sample_zeroargs.py
t_covergroup_with_sample_zeroargs.v
t_cpure.py Add `$cpure` (#6580) 2025-10-22 06:18:27 -07:00
t_cpure.v Add `$cpure` (#6580) 2025-10-22 06:18:27 -07:00
t_cuse_forward.py
t_cuse_forward.v
t_debug_emitv.out Support multi-expression sequences (#6639) 2025-11-06 08:42:27 -05:00
t_debug_emitv.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_debug_emitv.v Support multi-expression sequences (#6639) 2025-11-06 08:42:27 -05:00
t_debug_emitv_addrids.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_debug_exit_elab.py Internals: Add --debug-exit-elab; remove --debug-exit-uvm* 2025-12-08 18:02:46 -05:00
t_debug_exit_parse.py
t_debug_fatalsrc_bad.py
t_debug_fatalsrc_bt_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_debug_gate.py
t_debug_gate.v
t_debug_graph_test.py
t_debug_graph_test.v
t_debug_inputs.py Internals: Add `--dump-inputs` to make __inputs without needing `--debug` 2025-11-01 20:34:06 -04:00
t_debug_inputs.v
t_debug_inputs_a.v
t_debug_inputs_b.v
t_debug_sigsegv_bad.py
t_debug_sigsegv_bt_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_debug_trace.py
t_debug_trace.v
t_debug_width.out
t_debug_width.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_define_override.out
t_define_override.py
t_define_override.v
t_define_override_empty.out
t_define_override_empty.py
t_define_override_output.out
t_define_override_output.py
t_delay.py
t_delay.v
t_delay_1step.py Support `#1step` delay as statement (#6671) 2025-11-10 09:39:33 -05:00
t_delay_1step.v Support `#1step` delay as statement (#6671) 2025-11-10 09:39:33 -05:00
t_delay_compare.py
t_delay_compare.v
t_delay_incr.py
t_delay_incr.v
t_delay_incr_timing.py
t_delay_stmtdly_bad.out
t_delay_stmtdly_bad.py
t_delay_timing.py
t_delay_var.py
t_delay_var.v
t_depth_flop.py
t_depth_flop.v
t_detectarray_1.py
t_detectarray_1.v
t_detectarray_2.py
t_detectarray_2.v
t_detectarray_3.py
t_detectarray_3.v
t_dfg_3676.py
t_dfg_3676.v
t_dfg_3679.py
t_dfg_3679.v
t_dfg_3726.py
t_dfg_3726.v
t_dfg_3817.py
t_dfg_3817.v
t_dfg_3872.py
t_dfg_3872.v
t_dfg_4943.py
t_dfg_4943.v
t_dfg_bin_to_one_hot.py V3DfgPasses: Optimize mux with UInt To OneHot (#6822) 2025-12-17 12:07:21 -05:00
t_dfg_bin_to_one_hot.v V3DfgPasses: Optimize mux with UInt To OneHot (#6822) 2025-12-17 12:07:21 -05:00
t_dfg_break_cycles.cpp
t_dfg_break_cycles.py Improve combinational cycle fixup in Dfg (#6744) (#6746) 2025-12-08 18:43:21 +00:00
t_dfg_break_cycles.v Improve combinational cycle fixup in Dfg (#6744) (#6746) 2025-12-08 18:43:21 +00:00
t_dfg_circular.py
t_dfg_circular.v
t_dfg_circular_merged_scc.py
t_dfg_circular_merged_scc.v
t_dfg_inline_forced.py
t_dfg_inline_forced.v
t_dfg_multidriver_dfg_bad.out
t_dfg_multidriver_dfg_bad.py
t_dfg_multidriver_dfg_bad.v
t_dfg_multidriver_non_dfg.py
t_dfg_multidriver_non_dfg.v
t_dfg_oob_sel_rvalue.py Fix Dfg assertion on out of bounds selects 2025-12-06 15:31:27 +00:00
t_dfg_oob_sel_rvalue.v Fix Dfg assertion on out of bounds selects 2025-12-06 15:31:27 +00:00
t_dfg_peephole.cpp
t_dfg_peephole.py Tests: Add tests for -fno-dfg-peephole* (#6606) 2025-10-28 17:59:11 +00:00
t_dfg_peephole.v
t_dfg_peephole_off_all.py Tests: Add tests for -fno-dfg-peephole* (#6606) 2025-10-28 17:59:11 +00:00
t_dfg_peephole_off_each.py Tests: Add tests for -fno-dfg-peephole* (#6606) 2025-10-28 17:59:11 +00:00
t_dfg_regularize_circular.py
t_dfg_regularize_circular.v
t_dfg_regularize_clk.py
t_dfg_regularize_clk.v
t_dfg_regularize_driver_of_sc_var.py
t_dfg_regularize_driver_of_sc_var.v
t_dfg_result_var_ext_write.py Fix Dfg reusing volatile variables 2025-12-05 14:12:36 +00:00
t_dfg_result_var_ext_write.v Fix Dfg reusing volatile variables 2025-12-05 14:12:36 +00:00
t_dfg_stats_patterns.v
t_dfg_stats_patterns_post_inline.out
t_dfg_stats_patterns_post_inline.py
t_dfg_stats_patterns_pre_inline.out
t_dfg_stats_patterns_pre_inline.py
t_dfg_stats_patterns_scoped.out
t_dfg_stats_patterns_scoped.py
t_dfg_synthesis.cpp
t_dfg_synthesis.py
t_dfg_synthesis.v
t_dfg_synthesis_pre_inline.cpp
t_dfg_synthesis_pre_inline.py
t_dfg_synthesis_pre_inline.v
t_dfg_true_cycle_bad.out Improve combinational cycle fixup in Dfg (#6744) (#6746) 2025-12-08 18:43:21 +00:00
t_dfg_true_cycle_bad.py
t_dfg_true_cycle_bad.v
t_difftree.a.tree
t_difftree.b.tree
t_difftree.out
t_difftree.py Change `verilator_difftree` to return exit code 1 on mismatch, 2 on error. 2025-10-25 08:25:29 -04:00
t_disable.out
t_disable.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_disable.v
t_disable_bad.out
t_disable_bad.py
t_disable_bad.v
t_disable_empty.out
t_disable_empty.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_disable_empty.v
t_disable_empty_outside.py
t_disable_empty_outside.v
t_disable_fork1.py
t_disable_fork1.v
t_disable_fork2.py
t_disable_fork2.v
t_disable_fork2_split.py
t_disable_fork3.py
t_disable_fork3.v
t_disable_fork_notiming.out
t_disable_fork_notiming.py
t_disable_fork_notiming.v
t_disable_func_bad.out
t_disable_func_bad.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_disable_func_bad.v
t_disable_genfor2.py
t_disable_genfor2.v
t_disable_genfor_unsup.out
t_disable_genfor_unsup.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_disable_genfor_unsup.v
t_disable_iff_multi_bad.out
t_disable_iff_multi_bad.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_disable_iff_multi_bad.v
t_disable_inside.py
t_disable_inside.v Internals: Refactor AstNodeBlock representation (#6280) (#6588) 2025-10-24 14:00:07 +01:00
t_disable_outside.py
t_disable_outside.v
t_disable_outside2.py
t_disable_outside2.v
t_disable_outside3.py
t_disable_outside3.v
t_disable_outside4.py
t_disable_outside4.v
t_disable_task_simple.py
t_disable_task_simple.v Fix fork scheduling semantics (#6730) 2025-11-26 15:52:53 +03:00
t_disable_task_unsup.out
t_disable_task_unsup.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_disable_task_unsup.v
t_disable_within_task_unsup.out
t_disable_within_task_unsup.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_disable_within_task_unsup.v
t_display.out
t_display.py
t_display.v
t_display_bad.out
t_display_bad.py
t_display_bad.v
t_display_brace.py
t_display_brace.v
t_display_concat.out
t_display_concat.py
t_display_concat.v
t_display_concat2.out
t_display_concat2.py
t_display_concat2.v
t_display_cwide_bad.out
t_display_cwide_bad.py
t_display_cwide_bad.v
t_display_esc_bad.out
t_display_esc_bad.py
t_display_esc_bad.v
t_display_format_wide_decimal.out Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_display_format_wide_decimal.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_display_format_wide_decimal.v Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_display_impure.out
t_display_impure.py
t_display_impure.v
t_display_io.py
t_display_io.v
t_display_l.py
t_display_l.v
t_display_mcd.out
t_display_mcd.py
t_display_mcd.v
t_display_merge.out
t_display_merge.py
t_display_merge.v
t_display_noopt.py
t_display_p_elab.py
t_display_p_elab.v
t_display_qqq.out
t_display_qqq.py
t_display_qqq.v
t_display_real.out
t_display_real.py
t_display_real.v
t_display_real_noopt.py
t_display_realtime.py
t_display_realtime.v
t_display_recurse.out
t_display_recurse.py
t_display_recurse.v
t_display_signed.out
t_display_signed.py
t_display_signed.v
t_display_signed_noopt.py
t_display_string.out
t_display_string.py
t_display_string.v
t_display_time.out
t_display_time.py
t_display_time.v
t_display_type_bad.out
t_display_type_bad.py
t_display_type_bad.v
t_display_wide.out
t_display_wide.py
t_display_wide.v
t_display_wide_bad.out
t_display_wide_bad.py
t_display_wide_bad.v
t_dist_attributes_bad.out
t_dist_attributes_bad.py Tests: Fix t_dist_attributes_bad (#6801) 2025-12-12 02:59:01 -08:00
t_dist_attributes_include.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_dist_attributes_src.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_dist_cinclude.py Add `-Wno-vla-cxx-extension` CLang flag, and UVM DPI tests (#6782) 2025-12-09 07:15:28 -05:00
t_dist_contributors.py
t_dist_copyright.py Support randsequence (#6131) 2025-11-30 09:04:42 -05:00
t_dist_cppstyle.py
t_dist_docs_options.py Change `--preproc-comments` to be new name of `--pp-comments` option. 2025-11-01 21:59:16 -04:00
t_dist_docs_style.py
t_dist_docs_warnings.py
t_dist_error_format.py
t_dist_fixme.py
t_dist_getsetorder.py
t_dist_header_cc.py
t_dist_install.py
t_dist_lint_py.py
t_dist_pl.py
t_dist_portability.py
t_dist_untracked.py
t_dist_warn_coverage.py
t_dist_whitespace.py
t_do_while.py
t_do_while.v
t_do_while_continue_bad.out Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_do_while_continue_bad.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_do_while_continue_bad.v Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_do_while_jumps.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_do_while_jumps.v Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_dos.py
t_dos.v
t_dotfiles.py
t_dpi_2exp_bad.out
t_dpi_2exp_bad.py
t_dpi_2exp_bad.v
t_dpi_2exparg_bad.out
t_dpi_2exparg_bad.py
t_dpi_2exparg_bad.v
t_dpi_accessors.cpp
t_dpi_accessors.py
t_dpi_accessors.v
t_dpi_accessors_inc.vh
t_dpi_accessors_macros_inc.vh
t_dpi_arg_inout_type.cpp
t_dpi_arg_inout_type.out
t_dpi_arg_inout_type.py
t_dpi_arg_inout_type.v
t_dpi_arg_inout_type__Dpi.out
t_dpi_arg_inout_unpack.cpp Tests: Fix t_dpi_arg_inout_unpack 2025-11-22 14:44:20 +00:00
t_dpi_arg_inout_unpack.py
t_dpi_arg_inout_unpack.v
t_dpi_arg_inout_unpack__Dpi.out
t_dpi_arg_input_type.cpp
t_dpi_arg_input_type.out
t_dpi_arg_input_type.py
t_dpi_arg_input_type.v
t_dpi_arg_input_type__Dpi.out
t_dpi_arg_input_unpack.cpp
t_dpi_arg_input_unpack.py
t_dpi_arg_input_unpack.v
t_dpi_arg_input_unpack__Dpi.out
t_dpi_arg_output_type.cpp
t_dpi_arg_output_type.out
t_dpi_arg_output_type.py
t_dpi_arg_output_type.v
t_dpi_arg_output_type__Dpi.out
t_dpi_arg_output_unpack.cpp
t_dpi_arg_output_unpack.py
t_dpi_arg_output_unpack.v
t_dpi_arg_output_unpack__Dpi.out
t_dpi_argtype_bad.out
t_dpi_argtype_bad.py
t_dpi_argtype_bad.v
t_dpi_binary.py
t_dpi_binary.v
t_dpi_binary_c.cpp
t_dpi_binary_c.h
t_dpi_class_param.cpp Fix DPI under param class (#6733 partial) 2025-12-07 13:30:54 -05:00
t_dpi_class_param.py Fix DPI under param class (#6733 partial) 2025-12-07 13:30:54 -05:00
t_dpi_class_param.v Fix DPI under param class (#6733 partial) 2025-12-07 13:30:54 -05:00
t_dpi_context.py
t_dpi_context.v
t_dpi_context_c.cpp
t_dpi_context_noopt.py
t_dpi_display.out
t_dpi_display.py
t_dpi_display.v
t_dpi_display_bad.out Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_dpi_display_bad.py
t_dpi_display_bad.v
t_dpi_display_c.cpp
t_dpi_dup_bad.out
t_dpi_dup_bad.py
t_dpi_dup_bad.v
t_dpi_export.py
t_dpi_export.v
t_dpi_export_bad.out
t_dpi_export_bad.py
t_dpi_export_bad.v
t_dpi_export_c.cpp
t_dpi_export_context2_bad.cpp
t_dpi_export_context2_bad.out
t_dpi_export_context2_bad.py
t_dpi_export_context2_bad.v
t_dpi_export_context_bad.cpp
t_dpi_export_context_bad.out
t_dpi_export_context_bad.py
t_dpi_export_context_bad.v
t_dpi_export_noopt.py
t_dpi_export_scope_bad.cpp
t_dpi_export_scope_bad.out
t_dpi_export_scope_bad.py
t_dpi_export_scope_bad.v
t_dpi_if_cond.py
t_dpi_if_cond.v
t_dpi_if_cond_c.cpp
t_dpi_imp_gen.py
t_dpi_imp_gen.v
t_dpi_imp_gen_c.cpp
t_dpi_import.py
t_dpi_import.v
t_dpi_import_c.cpp
t_dpi_import_hdr_only.py
t_dpi_import_mix_bad.out
t_dpi_import_mix_bad.py
t_dpi_import_mix_bad.v
t_dpi_inline_new.cpp Fix internal fault when cross-class calling with DPI (#6735) (#6742) 2025-12-02 22:05:59 -05:00
t_dpi_inline_new.py Fix internal fault when cross-class calling with DPI (#6735) (#6742) 2025-12-02 22:05:59 -05:00
t_dpi_inline_new.v Fix internal fault when cross-class calling with DPI (#6735) (#6742) 2025-12-02 22:05:59 -05:00
t_dpi_instr_count_large.cpp
t_dpi_instr_count_large.py
t_dpi_instr_count_large.v
t_dpi_instr_count_large_hier.py
t_dpi_lib.py
t_dpi_lib.v
t_dpi_lib_c.cpp
t_dpi_name_bad.out
t_dpi_name_bad.py
t_dpi_name_bad.v
t_dpi_open.py
t_dpi_open.v
t_dpi_open_c.cpp
t_dpi_open_elem.py
t_dpi_open_elem.v
t_dpi_open_elem_c.cpp
t_dpi_open_oob_bad.out
t_dpi_open_oob_bad.py
t_dpi_open_oob_bad.v
t_dpi_open_oob_bad_c.cpp
t_dpi_open_query.cpp
t_dpi_open_query.py
t_dpi_open_query.v
t_dpi_open_vecval.py
t_dpi_open_vecval.v
t_dpi_open_vecval_c.cpp
t_dpi_openfirst.py
t_dpi_openfirst.v
t_dpi_openfirst_c.cpp
t_dpi_qw.py
t_dpi_qw.v
t_dpi_qw_c.cpp
t_dpi_result_type.cpp
t_dpi_result_type.out
t_dpi_result_type.py
t_dpi_result_type.v
t_dpi_result_type__Dpi.out
t_dpi_result_type_bad.out
t_dpi_result_type_bad.py
t_dpi_result_type_bad.v
t_dpi_shortcircuit.py
t_dpi_shortcircuit.v
t_dpi_shortcircuit2.py
t_dpi_shortcircuit2.v
t_dpi_shortcircuit_c.cpp
t_dpi_string.py
t_dpi_string.v
t_dpi_string_c.cpp
t_dpi_sys.py
t_dpi_sys.v
t_dpi_sys_c.cpp
t_dpi_threads.py
t_dpi_threads.v
t_dpi_threads_c.cpp
t_dpi_threads_collide.py
t_dpi_type_bad.out
t_dpi_type_bad.py
t_dpi_type_bad.v
t_dpi_unpack_bad.out
t_dpi_unpack_bad.py
t_dpi_unpack_bad.v
t_dpi_vams.cpp
t_dpi_vams.py
t_dpi_vams.v
t_dpi_var.cpp
t_dpi_var.py
t_dpi_var.v Use explicit ctor/dtor functions for VerilatedModules (#6660) 2025-11-07 19:57:10 +00:00
t_dpi_var.vlt
t_dpi_var_vlt.py
t_driver_random.py
t_driver_timeout.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_dump.v
t_dump_dfg.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_dump_inputs_rerun.py Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_dump_json.out Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_dump_json.py
t_dump_tree_dot.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_dynarray.py
t_dynarray.v
t_dynarray_bad.out
t_dynarray_bad.py
t_dynarray_bad.v
t_dynarray_bits.out
t_dynarray_bits.py
t_dynarray_bits.v
t_dynarray_cast_write.py
t_dynarray_cast_write.v
t_dynarray_concat.py
t_dynarray_concat.v
t_dynarray_init.py
t_dynarray_init.v
t_dynarray_method.py
t_dynarray_method.v
t_dynarray_method_bad.out
t_dynarray_method_bad.py
t_dynarray_method_bad.v
t_dynarray_multid.py
t_dynarray_multid.v
t_dynarray_param.py
t_dynarray_param.v
t_dynarray_unpacked.py
t_dynarray_unpacked.v
t_embed1.py
t_embed1.v
t_embed1_c.cpp
t_embed1_child.v
t_embed1_wrap.v
t_emit_accessors.cpp
t_emit_accessors.py
t_emit_accessors.v
t_emit_constw.py
t_emit_constw.v
t_emit_memb_limit.py
t_enum.py
t_enum.v
t_enum_bad_cell.out
t_enum_bad_cell.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_enum_bad_cell.v
t_enum_bad_circdecl.out
t_enum_bad_circdecl.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_enum_bad_circdecl.v
t_enum_bad_dup.out
t_enum_bad_dup.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_enum_bad_dup.v
t_enum_bad_hide.out
t_enum_bad_hide.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_enum_bad_hide.v
t_enum_bad_value.out
t_enum_bad_value.py
t_enum_bad_value.v
t_enum_bad_wrap.out
t_enum_bad_wrap.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_enum_bad_wrap.v
t_enum_base.py
t_enum_base.v
t_enum_base_bad.out
t_enum_base_bad.py
t_enum_base_bad.v
t_enum_const_methods.py
t_enum_const_methods.v
t_enum_enumvalue_struct_bad.out
t_enum_enumvalue_struct_bad.py
t_enum_enumvalue_struct_bad.v
t_enum_func.py
t_enum_func.v
t_enum_huge_methods.py
t_enum_huge_methods.v
t_enum_huge_methods_bad.out
t_enum_huge_methods_bad.py
t_enum_huge_methods_bad.v
t_enum_int.py
t_enum_int.v
t_enum_large_methods.py
t_enum_large_methods.v
t_enum_name2.py
t_enum_name2.v
t_enum_name3.py
t_enum_name3.v
t_enum_name_sformatf.py
t_enum_name_sformatf.v
t_enum_overlap_bad.out
t_enum_overlap_bad.py
t_enum_overlap_bad.v
t_enum_param_class.py
t_enum_param_class.v
t_enum_param_class2.py Fix enum item references in class extends with parameters. 2025-12-07 15:26:52 -05:00
t_enum_param_class2.v Fix enum item references in class extends with parameters. 2025-12-07 15:26:52 -05:00
t_enum_public.cpp
t_enum_public.py
t_enum_public.v
t_enum_recurse_bad.out
t_enum_recurse_bad.py
t_enum_recurse_bad.v
t_enum_recurse_bad2.out
t_enum_recurse_bad2.py
t_enum_recurse_bad2.v
t_enum_size.py
t_enum_size.v
t_enum_type_bad.out
t_enum_type_bad.py
t_enum_type_bad.v
t_enum_type_methods.py
t_enum_type_methods.v
t_enum_type_methods_bad.out
t_enum_type_methods_bad.py
t_enum_type_methods_bad.v
t_enum_type_nomethod_bad.out
t_enum_type_nomethod_bad.py
t_enum_type_nomethod_bad.v
t_enum_type_pins.py
t_enum_type_pins.v
t_enum_value_assign.py
t_enum_value_assign.v
t_enum_x_bad.out
t_enum_x_bad.py
t_enum_x_bad.v
t_enumeration.py
t_enumeration.v
t_eq_wild.py
t_eq_wild.v
t_eq_wild_unsup.out
t_eq_wild_unsup.py
t_eq_wild_unsup.v
t_event.py
t_event.v
t_event_class_fire.out
t_event_class_fire.py
t_event_class_fire.v
t_event_control.out
t_event_control.py
t_event_control.v Tests: Misc cleanups 2025-11-23 17:14:44 -05:00
t_event_control_assign.py
t_event_control_assign.v
t_event_control_expr.py
t_event_control_expr.v
t_event_control_expr_noinl.py
t_event_control_expr_unsup.out
t_event_control_expr_unsup.py
t_event_control_expr_unsup.v
t_event_control_pass.py
t_event_control_pass.v
t_event_control_prev_name_collision.py
t_event_control_prev_name_collision.v
t_event_control_scope_var.py
t_event_control_scope_var.v
t_event_control_star.out
t_event_control_star.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_event_control_star.v
t_event_control_star_never.py
t_event_control_star_never.v
t_event_control_star_never_bad.out
t_event_control_star_never_bad.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_event_control_timing.out
t_event_control_timing.py
t_event_copy.out
t_event_copy.py
t_event_copy.v
t_event_method_bad.out
t_event_method_bad.py
t_event_method_bad.v
t_exit.py
t_exit.v
t_expect.out Support multi-expression sequences (#6639) 2025-11-06 08:42:27 -05:00
t_expect.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_expect.v
t_export_packed_struct.cpp
t_export_packed_struct.py
t_export_packed_struct.v
t_export_packed_struct2.cpp
t_export_packed_struct2.py
t_export_packed_struct2.v
t_expr_incr_unsup.out
t_expr_incr_unsup.py
t_expr_incr_unsup.v
t_expr_shortcircuit.py Fix expression short circuiting (#6483) 2025-11-04 10:34:58 -05:00
t_expr_shortcircuit.v Fix expression short circuiting (#6483) 2025-11-04 10:34:58 -05:00
t_exprstmt_on_lhs_of_nba.py
t_exprstmt_on_lhs_of_nba.v
t_extend.py
t_extend.v
t_extend_c_class.py
t_extend_c_class.v
t_extend_c_class_c.h
t_extend_class.py
t_extend_class.v
t_extract_static_const.out
t_extract_static_const.py
t_extract_static_const.v
t_extract_static_const_multimodule.out
t_extract_static_const_multimodule.py
t_extract_static_const_multimodule.v
t_extract_static_const_no_merge.py
t_fallback_bad.out
t_fallback_bad.py
t_fallback_bad.v
t_final.py
t_final.v
t_flag_aslr.py
t_flag_aslr_no.py
t_flag_bboxsys.py
t_flag_bboxsys.v
t_flag_binary.py
t_flag_binary_parallel.py
t_flag_build.py
t_flag_build_bad.out
t_flag_build_bad.py
t_flag_build_bad2.py
t_flag_build_bad_cmake.out
t_flag_build_dep_bin.py
t_flag_build_dep_bin.v
t_flag_build_jobs_and_j.py
t_flag_build_jobs_bad.out Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_flag_build_jobs_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_flag_comp_limit_parens.py
t_flag_comp_limit_parens.v
t_flag_compiler.v
t_flag_compiler_bad.out
t_flag_compiler_bad.py
t_flag_compiler_clang.py
t_flag_compiler_gcc.py
t_flag_compiler_msvc.py
t_flag_context_bad.out
t_flag_context_bad.py
t_flag_context_bad.v
t_flag_csplit.py Fix splitting of Syms constructor/destructor bodies (#6662) 2025-11-08 10:36:12 +00:00
t_flag_csplit.v Fix slow compilation of generated sampled value code (#6652) 2025-11-06 13:31:40 +00:00
t_flag_csplit_eval.py Internals: Replace VlTriggerVec with unpacked array (#6616) 2025-10-31 18:29:11 +00:00
t_flag_csplit_eval.v
t_flag_csplit_groups.py REVERT 612237b7: Optimize trace code for faster compiles on repeated types (#6707) (#6832 partial). 2025-12-17 17:37:35 -05:00
t_flag_csplit_off.py
t_flag_debug_noleak.py
t_flag_debug_noleak.v
t_flag_debugi9.py
t_flag_debugi9.v
t_flag_decoration.py
t_flag_decoration.v
t_flag_decoration_no.py
t_flag_decorations_bad.out
t_flag_decorations_bad.py
t_flag_decorations_node.py
t_flag_define.py Add t_dist_docs_options checks, and fix related docs and coverage issues 2025-10-25 10:53:24 -04:00
t_flag_define.v
t_flag_define.vc
t_flag_deprecated_bad.out
t_flag_deprecated_bad.py
t_flag_deprecated_bad.v
t_flag_errorlimit_bad.out
t_flag_errorlimit_bad.py
t_flag_errorlimit_bad.v
t_flag_expand_limit.py Fix --expand-limit not respected for expressions (#6670) 2025-11-10 17:18:33 +00:00
t_flag_expand_limit.v Fix --expand-limit not respected for expressions (#6670) 2025-11-10 17:18:33 +00:00
t_flag_f.py
t_flag_f.v
t_flag_f.vc
t_flag_f__2.vc
t_flag_f__3.v
t_flag_f_bad.out
t_flag_f_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_flag_f_bad_cmt.out
t_flag_f_bad_cmt.py
t_flag_f_bad_cmt.v
t_flag_f_bad_cmt.vc
t_flag_f_bad_getenvend.out
t_flag_f_bad_getenvend.py
t_flag_f_bad_getenvend.vc
t_flag_fi.cpp
t_flag_fi.py
t_flag_fi.v
t_flag_fi_h.h
t_flag_future.py
t_flag_future.v
t_flag_future_bad.out Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_flag_future_bad.py
t_flag_generate_key.py
t_flag_getenv.py
t_flag_getenv.v
t_flag_help.py
t_flag_help_valgrind.py
t_flag_hier0_bad.out
t_flag_hier0_bad.py
t_flag_hier1_bad.out
t_flag_hier1_bad.py
t_flag_hierarchical_threads_bad.out
t_flag_hierarchical_threads_bad.py
t_flag_i_empty.py
t_flag_i_empty.v
t_flag_incdir.py
t_flag_incdir.v
t_flag_instr_count_dpi_bad.py
t_flag_invalid2_bad.out
t_flag_invalid2_bad.py
t_flag_invalid_bad.out
t_flag_invalid_bad.py
t_flag_j_hier.py
t_flag_j_hier.v
t_flag_language.py
t_flag_language.v
t_flag_language_bad.out
t_flag_language_bad.py
t_flag_language_default.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_flag_ldflags.py Internals: Fix minor macOS issues 2025-11-23 11:04:51 +00:00
t_flag_ldflags.v
t_flag_ldflags_a.cpp
t_flag_ldflags_c.cpp
t_flag_ldflags_so.cpp
t_flag_lib.py
t_flag_lib.v
t_flag_lib_dpi.cpp
t_flag_lib_dpi.mk
t_flag_lib_dpi.py
t_flag_lib_dpi.v
t_flag_lib_dpi_main.cpp
t_flag_libcreate_bad.out
t_flag_libcreate_bad.py
t_flag_libinc.v
t_flag_main.py
t_flag_main.v
t_flag_main_sc_bad.out
t_flag_main_sc_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_flag_main_top_name.py
t_flag_main_top_name.v
t_flag_main_top_name_empty.py
t_flag_make_bad.out
t_flag_make_bad.py
t_flag_make_cmake.py
t_flag_make_cmake.v
t_flag_make_cmake_sc.py
t_flag_make_cmake_sc.v
t_flag_make_gmake.py
t_flag_make_json.py
t_flag_mmd.py
t_flag_mmd.v
t_flag_modprefix_bad.out
t_flag_modprefix_bad.py
t_flag_names.py
t_flag_names.v
t_flag_no_unlimited_stack.py
t_flag_no_unlimited_stack.v
t_flag_nofile_bad.out
t_flag_nofile_bad.py
t_flag_nomod_bad.out
t_flag_nomod_bad.py
t_flag_nomod_bad.v
t_flag_noop_bad.out
t_flag_noop_bad.py
t_flag_noop_bad.v
t_flag_only_bad.out
t_flag_only_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_flag_only_bad2.out
t_flag_only_bad2.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_flag_only_bad3.out
t_flag_only_bad3.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_flag_output_groups.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_flag_output_groups.v Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_flag_output_groups_bad.out
t_flag_output_groups_bad.py
t_flag_parameter.py
t_flag_parameter.v Fix ENUMVALUE error when overriding enum param from CLI using -G/-pvalue 2025-10-30 12:04:12 +00:00
t_flag_parameter.vc Fix ENUMVALUE error when overriding enum param from CLI using -G/-pvalue 2025-10-30 12:04:12 +00:00
t_flag_parameter_bad.out
t_flag_parameter_bad.py
t_flag_parameter_hier.py
t_flag_parameter_hier.v
t_flag_parameter_pkg.py
t_flag_parameter_pkg.v
t_flag_prefix.py Tests: Favor --binary. No test change. 2025-12-16 08:14:25 -05:00
t_flag_prefix.v
t_flag_prefix_bad.out
t_flag_prefix_bad.py
t_flag_quiet_exit.py
t_flag_quiet_stats.py
t_flag_quiet_stats.v
t_flag_quiet_stats2.py
t_flag_quiet_stats3.py
t_flag_relinc.py
t_flag_relinc.v
t_flag_runtime_debug.py
t_flag_skipidentical.py
t_flag_skipidentical.v
t_flag_stats.py
t_flag_stats.v
t_flag_structs_packed.py
t_flag_structs_packed.v
t_flag_structs_packed_bad.out
t_flag_structs_packed_bad.py
t_flag_suggest.out
t_flag_suggest.py
t_flag_supported.py
t_flag_supported_1.out
t_flag_supported_empty.out
t_flag_threads_bad.out
t_flag_threads_bad.py
t_flag_threads_bad2.out
t_flag_threads_bad2.py
t_flag_threads_dpi_bad.out
t_flag_threads_dpi_bad.py
t_flag_timescale.out
t_flag_timescale.py
t_flag_timescale.v
t_flag_timescale_override.out
t_flag_timescale_override.py
t_flag_timescale_override.v
t_flag_timescale_override2.out
t_flag_timescale_override2.py
t_flag_topmodule.py
t_flag_topmodule.v
t_flag_topmodule_bad.out
t_flag_topmodule_bad.py
t_flag_topmodule_bad2.out
t_flag_topmodule_bad2.py
t_flag_topmodule_inline.py
t_flag_topmodule_inline.v
t_flag_trace_threads_bad.out
t_flag_trace_threads_bad.py
t_flag_unroll_limit_const.out Add --unroll-limit option (#6654) (#6668) 2025-11-09 12:46:25 -05:00
t_flag_unroll_limit_const.py Add --unroll-limit option (#6654) (#6668) 2025-11-09 12:46:25 -05:00
t_flag_unroll_limit_const.v Add --unroll-limit option (#6654) (#6668) 2025-11-09 12:46:25 -05:00
t_flag_unroll_limit_gen.out Add --unroll-limit option (#6654) (#6668) 2025-11-09 12:46:25 -05:00
t_flag_unroll_limit_gen.py Add --unroll-limit option (#6654) (#6668) 2025-11-09 12:46:25 -05:00
t_flag_unroll_limit_gen.v Add --unroll-limit option (#6654) (#6668) 2025-11-09 12:46:25 -05:00
t_flag_unroll_limit_stmt.out Add --unroll-limit option (#6654) (#6668) 2025-11-09 12:46:25 -05:00
t_flag_unroll_limit_stmt.py Add --unroll-limit option (#6654) (#6668) 2025-11-09 12:46:25 -05:00
t_flag_unroll_limit_stmt.v Add --unroll-limit option (#6654) (#6668) 2025-11-09 12:46:25 -05:00
t_flag_values_bad.out
t_flag_values_bad.py
t_flag_values_deprecated.out
t_flag_values_deprecated.py
t_flag_verilate.py
t_flag_verilate_threads_bad.out
t_flag_verilate_threads_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_flag_version.py
t_flag_werror.v
t_flag_werror_bad1.out
t_flag_werror_bad1.py
t_flag_werror_bad2.out
t_flag_werror_bad2.py
t_flag_werror_bad3.out
t_flag_werror_bad3.py
t_flag_wfatal.out
t_flag_wfatal.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_flag_wfatal.v
t_flag_woff.py
t_flag_woff.v
t_flag_woff_bad.out
t_flag_woff_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_flag_wpedantic_bad.out
t_flag_wpedantic_bad.py
t_flag_wpedantic_bad.v
t_flag_wwarn_bad.out
t_flag_wwarn_bad.py
t_flag_x_assign_bad.out
t_flag_x_assign_bad.py
t_flag_x_initial_bad.out
t_flag_x_initial_bad.py
t_flag_xinitial_0.py
t_flag_xinitial_0.v
t_flag_xinitial_unique.py
t_flag_xinitial_unique.v
t_for_assign.py
t_for_assign.v
t_for_break.py
t_for_break.v
t_for_comma.py
t_for_comma.v
t_for_count.py
t_for_count.v
t_for_disable_dot.py
t_for_disable_dot.v
t_for_funcbound.py
t_for_funcbound.v
t_for_init_bug.py
t_for_init_bug.v
t_for_local.py
t_for_local.v
t_for_loop.py
t_for_loop.v
t_force.py
t_force.v
t_force_assign.py
t_force_assign.v
t_force_bad_rw.out
t_force_bad_rw.py
t_force_bad_rw.v
t_force_chained.out
t_force_chained.py
t_force_chained.v
t_force_complex_sel_unsup.out Throw UNSUPPORTED on force / release statements with complex select expressions (#6755) 2025-12-04 14:06:47 +01:00
t_force_complex_sel_unsup.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_force_complex_sel_unsup.v Throw UNSUPPORTED on force / release statements with complex select expressions (#6755) 2025-12-04 14:06:47 +01:00
t_force_func.out
t_force_func.py
t_force_func.v
t_force_immediate_release.py
t_force_immediate_release.v
t_force_initial.py
t_force_initial.v
t_force_input_assign_bad.out
t_force_input_assign_bad.py
t_force_input_assign_bad.v
t_force_mid.cpp
t_force_mid.py
t_force_mid.v
t_force_multi.py
t_force_multi.v
t_force_port_inline.py
t_force_port_inline.v
t_force_readwrite.py
t_force_readwrite.v
t_force_readwrite_unsup.out
t_force_readwrite_unsup.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_force_readwrite_unsup.v
t_force_release.out
t_force_release.py
t_force_release.v
t_force_release_net.py
t_force_release_net.v
t_force_release_net_reverse.py
t_force_release_net_trace.out
t_force_release_net_trace.py
t_force_release_var.py
t_force_release_var.v
t_force_release_var_reverse.py
t_force_release_var_trace.out
t_force_release_var_trace.py
t_force_rhs_ref.py
t_force_rhs_ref.v
t_force_rhs_ref_multi_lhs.py
t_force_rhs_ref_multi_lhs.v
t_force_rhs_ref_multiple.py
t_force_rhs_ref_multiple.v
t_force_select_bad.out
t_force_select_bad.py
t_force_select_bad.v
t_force_struct_partial.py
t_force_struct_partial.v
t_force_subnet.py
t_force_subnet.v
t_force_subvar.py
t_force_subvar.v
t_force_tri.out
t_force_tri.py
t_force_tri.v
t_force_unpacked.py Support force assignments to unpacked array elements of simple types (partial #4735) (#6787) 2025-12-16 08:35:59 +01:00
t_force_unpacked.v Support force assignments to unpacked array elements of simple types (partial #4735) (#6787) 2025-12-16 08:35:59 +01:00
t_force_unpacked_unsup.out Support force assignments to unpacked array elements of simple types (partial #4735) (#6787) 2025-12-16 08:35:59 +01:00
t_force_unpacked_unsup.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_force_unpacked_unsup.v Support force assignments to unpacked array elements of simple types (partial #4735) (#6787) 2025-12-16 08:35:59 +01:00
t_forceable_net.cpp
t_forceable_net.v
t_forceable_net.vlt
t_forceable_net_cmt.py
t_forceable_net_cmt_trace.py
t_forceable_net_trace.vcd.out
t_forceable_net_vlt.py
t_forceable_net_vlt_trace.py
t_forceable_public_flat.py
t_forceable_public_flat.v
t_forceable_var.cpp
t_forceable_var.v
t_forceable_var.vlt
t_forceable_var_cmt.py
t_forceable_var_cmt_trace.py
t_forceable_var_trace.vcd.out
t_forceable_var_vlt.py
t_forceable_var_vlt_trace.py
t_foreach.py
t_foreach.v
t_foreach_array.py
t_foreach_array.v
t_foreach_bad.out
t_foreach_bad.py
t_foreach_bad.v
t_foreach_blkname.py
t_foreach_blkname.v
t_foreach_class.py
t_foreach_class.v
t_foreach_const.py
t_foreach_const.v
t_foreach_iface.py
t_foreach_iface.v
t_foreach_nindex_bad.out
t_foreach_nindex_bad.py
t_foreach_nindex_bad.v
t_foreach_noivar.py
t_foreach_noivar.v
t_foreach_noivar_bad.out
t_foreach_noivar_bad.py
t_foreach_sideeff_uvm.py
t_foreach_sideeff_uvm.v
t_foreach_type_bad.out
t_foreach_type_bad.py
t_foreach_type_bad.v
t_fork.out Internals: Refactor AstNodeBlock representation (#6280) (#6588) 2025-10-24 14:00:07 +01:00
t_fork.py
t_fork.v
t_fork_bbox.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_fork_bbox.v
t_fork_block_item_declaration.py
t_fork_block_item_declaration.v Fix fork scheduling semantics (#6730) 2025-11-26 15:52:53 +03:00
t_fork_cfunc_finish.py Fix fork scheduling semantics (#6730) 2025-11-26 15:52:53 +03:00
t_fork_cfunc_finish.v Fix fork scheduling semantics (#6730) 2025-11-26 15:52:53 +03:00
t_fork_delay.py Fix fork scheduling semantics (#6730) 2025-11-26 15:52:53 +03:00
t_fork_delay.v Fix fork scheduling semantics (#6730) 2025-11-26 15:52:53 +03:00
t_fork_delay_finish.py Fix fork scheduling semantics (#6730) 2025-11-26 15:52:53 +03:00
t_fork_delay_finish.v Fix fork scheduling semantics (#6730) 2025-11-26 15:52:53 +03:00
t_fork_dynscope.py
t_fork_dynscope.v
t_fork_dynscope_interface.py
t_fork_dynscope_interface.v
t_fork_dynscope_out.py
t_fork_dynscope_out.v Fix fork scheduling semantics (#6730) 2025-11-26 15:52:53 +03:00
t_fork_dynscope_unsup.out
t_fork_dynscope_unsup.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_fork_dynscope_unsup.v
t_fork_finish.py
t_fork_finish.v
t_fork_func2_bad.out
t_fork_func2_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_fork_func2_bad.v
t_fork_func_bad.out
t_fork_func_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_fork_func_bad.v
t_fork_initial.py
t_fork_initial.v Internals: Refactor AstNodeBlock representation (#6280) (#6588) 2025-10-24 14:00:07 +01:00
t_fork_join_none_any_nested.py
t_fork_join_none_any_nested.v
t_fork_join_none_class_cap.py
t_fork_join_none_class_cap.v
t_fork_join_none_virtual.py
t_fork_join_none_virtual.v
t_fork_jumpblock.py
t_fork_jumpblock.v
t_fork_label.py
t_fork_label.v
t_fork_label_timing.py
t_fork_none_var.py
t_fork_none_var.v
t_fork_output_arg.py
t_fork_output_arg.v
t_fork_port.py
t_fork_port.v
t_fork_repeat.py
t_fork_repeat.v
t_fork_repeat_reset.py
t_fork_repeat_reset.v
t_fork_timing.py
t_func.py
t_func.v
t_func_arg_complex.py
t_func_arg_complex.v
t_func_automatic_clear.py
t_func_automatic_clear.v
t_func_bad.out
t_func_bad.py
t_func_bad.v
t_func_bad_width.out
t_func_bad_width.py
t_func_bad_width.v
t_func_begin2.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_func_begin2.v
t_func_call_order.py
t_func_call_order.v
t_func_call_super_arg.py
t_func_call_super_arg.v
t_func_check.py
t_func_check.v
t_func_complex.py
t_func_complex.v
t_func_complex_noinl.py
t_func_cond.py
t_func_cond.v
t_func_const.py
t_func_const.v
t_func_const2_bad.out
t_func_const2_bad.py
t_func_const2_bad.v
t_func_const3_bad.out
t_func_const3_bad.py
t_func_const3_bad.v
t_func_const_bad.out Add --unroll-limit option (#6654) (#6668) 2025-11-09 12:46:25 -05:00
t_func_const_bad.py
t_func_const_bad.v
t_func_const_packed_array_bad.out
t_func_const_packed_array_bad.py
t_func_const_packed_array_bad.v
t_func_const_packed_struct_bad.out
t_func_const_packed_struct_bad.py
t_func_const_packed_struct_bad.v
t_func_const_packed_struct_bad2.out
t_func_const_packed_struct_bad2.py
t_func_const_packed_struct_bad2.v
t_func_const_struct_bad.out
t_func_const_struct_bad.py
t_func_const_struct_bad.v
t_func_crc.py
t_func_crc.v
t_func_default_warn.py
t_func_default_warn.v
t_func_defaults.py
t_func_defaults.v
t_func_dotted.v
t_func_dotted_inl0.py
t_func_dotted_inl0.vlt
t_func_dotted_inl0_vlt.py
t_func_dotted_inl1.py
t_func_dotted_inl1.vlt
t_func_dotted_inl1_vlt.py
t_func_dotted_inl2.py
t_func_dotted_inl2.vlt
t_func_dotted_inl2_vlt.py
t_func_endian.py
t_func_endian.v
t_func_first.py
t_func_first.v
t_func_flip.py
t_func_flip.v
t_func_gen.py
t_func_gen.v
t_func_graphcirc.py
t_func_graphcirc.v
t_func_grey.py
t_func_grey.v
t_func_impure_bad.out Add `-fno-inline-funcs-eager` option to disable excessive inlining (#6682) 2025-11-11 21:46:19 +00:00
t_func_impure_bad.py
t_func_impure_bad.v Add `-fno-inline-funcs-eager` option to disable excessive inlining (#6682) 2025-11-11 21:46:19 +00:00
t_func_inconly.py
t_func_inconly.v
t_func_inout_bit_sel.py
t_func_inout_bit_sel.v
t_func_io_order.py
t_func_io_order.v
t_func_lib.py
t_func_lib.v
t_func_lib_sub.py
t_func_lib_sub.v
t_func_lib_sub_timing.py
t_func_link.py
t_func_link.v
t_func_many_return.py
t_func_many_return.v
t_func_mlog2.py
t_func_mlog2.v
t_func_modify_input.py
t_func_modify_input.v
t_func_named.py
t_func_named.v
t_func_nansi_dup_bad.out
t_func_nansi_dup_bad.py
t_func_nansi_dup_bad.v
t_func_nansi_mism_bad.out
t_func_nansi_mism_bad.py
t_func_nansi_mism_bad.v
t_func_no_lifetime_bad.out
t_func_no_lifetime_bad.py
t_func_no_lifetime_bad.v
t_func_no_paren.py
t_func_no_paren.v
t_func_no_parentheses_bad.out
t_func_no_parentheses_bad.py
t_func_no_parentheses_bad.v
t_func_noinl.py
t_func_noinl.v
t_func_numones.py
t_func_numones.v
t_func_outfirst.py
t_func_outfirst.v
t_func_outp.py
t_func_outp.v
t_func_paramed.py
t_func_paramed.v
t_func_plog.py
t_func_plog.v
t_func_public.py
t_func_public.v
t_func_public_trace.py
t_func_purification.py Fix side effects for improved function/task/process purity (#6559) 2025-10-29 14:27:31 -04:00
t_func_purification.v Fix side effects for improved function/task/process purity (#6559) 2025-10-29 14:27:31 -04:00
t_func_rand.cpp
t_func_rand.py
t_func_rand.v
t_func_range.py
t_func_range.v
t_func_real_abs.py
t_func_real_abs.v
t_func_real_exprstmt.py
t_func_real_exprstmt.v
t_func_real_param.py
t_func_real_param.v
t_func_recurse.py
t_func_recurse.v
t_func_recurse2.py
t_func_recurse2.v
t_func_recurse_param.py
t_func_recurse_param.v
t_func_recurse_param_bad.out
t_func_recurse_param_bad.py
t_func_recurse_param_bad.v
t_func_redef.py
t_func_redef.v
t_func_ref.py
t_func_ref.v
t_func_ref_arg.py
t_func_ref_arg.v
t_func_ref_bad.out
t_func_ref_bad.py
t_func_ref_bad.v
t_func_ref_noinline.py
t_func_ref_noparen.py
t_func_ref_noparen.v
t_func_refio_bad.out
t_func_refio_bad.py
t_func_refio_bad.v
t_func_regfirst.py
t_func_regfirst.v
t_func_return.py
t_func_return.v
t_func_return_bad.out
t_func_return_bad.py
t_func_return_bad.v
t_func_sel.py
t_func_sel.v
t_func_sum.py
t_func_sum.v
t_func_task_bad.out
t_func_task_bad.py
t_func_task_bad.v
t_func_task_bad2.out
t_func_task_bad2.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_func_task_bad2.v
t_func_tie_bad.out
t_func_tie_bad.py
t_func_tie_bad.v
t_func_twocall.py
t_func_twocall.v
t_func_twocall_noexpand.py
t_func_types.py
t_func_types.v
t_func_under.py
t_func_under.v
t_func_under2.py
t_func_under2.v
t_func_uninit.py
t_func_uninit.v
t_func_unit.py
t_func_unit.v
t_func_v.py
t_func_v.v
t_func_v_noinl.py
t_func_virt_new.py
t_func_virt_new.v
t_func_virt_new_bad.out
t_func_virt_new_bad.py
t_func_virt_new_bad.v
t_func_void.py
t_func_void.v
t_func_void_bad.out
t_func_void_bad.py
t_func_void_bad.v
t_func_while.py
t_func_while.v
t_func_while2.py
t_func_while2.v
t_func_wide.py
t_func_wide.v
t_func_wide_out.py
t_func_wide_out.v
t_func_wide_out_bad.out
t_func_wide_out_bad.py
t_func_wide_out_c.cpp
t_func_wide_out_noinl.py
t_fuzz_always_bad.out
t_fuzz_always_bad.py
t_fuzz_always_bad.v
t_fuzz_eof_bad.out
t_fuzz_eof_bad.py
t_fuzz_eof_bad.v
t_fuzz_eqne_bad.out
t_fuzz_eqne_bad.py
t_fuzz_eqne_bad.v
t_fuzz_genintf_bad.out
t_fuzz_genintf_bad.py
t_fuzz_genintf_bad.v
t_fuzz_negwidth_bad.out
t_fuzz_negwidth_bad.py
t_fuzz_negwidth_bad.v
t_fuzz_triand_bad.out
t_fuzz_triand_bad.py
t_fuzz_triand_bad.v
t_gantt.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_gantt.v
t_gantt_c.cpp
t_gantt_hier.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_gantt_io.dat
t_gantt_io.out
t_gantt_io.py
t_gantt_io.vcd.out
t_gantt_io_arm.dat
t_gantt_io_arm.out
t_gantt_io_arm.py
t_gantt_io_noproc.dat
t_gantt_io_noproc.out
t_gantt_io_noproc.py
t_gantt_numa.py Internals: Fix minor macOS issues 2025-11-23 11:04:51 +00:00
t_gantt_two.cpp
t_gantt_two.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_gate_array.py
t_gate_array.v
t_gate_basic.py
t_gate_basic.v
t_gate_basic_specify_bad.out Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_gate_basic_specify_bad.py
t_gate_basic_timing.py
t_gate_chained.py
t_gate_delay_unsup.out
t_gate_delay_unsup.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_gate_delref.py
t_gate_delref.v
t_gate_elim.py
t_gate_elim.v
t_gate_elim_cycle.py
t_gate_elim_cycle.v
t_gate_fdup.py
t_gate_fdup.v
t_gate_implicit.py
t_gate_implicit.v
t_gate_inline_wide_exclude_multiple.py
t_gate_inline_wide_exclude_multiple.v
t_gate_inline_wide_noexclude_arraysel.py
t_gate_inline_wide_noexclude_arraysel.v
t_gate_inline_wide_noexclude_const.py
t_gate_inline_wide_noexclude_const.v
t_gate_inline_wide_noexclude_other_scope.py
t_gate_inline_wide_noexclude_other_scope.v
t_gate_inline_wide_noexclude_sel.py
t_gate_inline_wide_noexclude_sel.v
t_gate_inline_wide_noexclude_small_wide.py
t_gate_inline_wide_noexclude_small_wide.v
t_gate_inline_wide_noexclude_varref.py
t_gate_inline_wide_noexclude_varref.v
t_gate_loop.py
t_gate_loop.v
t_gate_lvalue_const.py
t_gate_lvalue_const.v
t_gate_opt.py
t_gate_opt.v
t_gate_ormux.py
t_gate_ormux.v
t_gate_primitives_implicit_net.out
t_gate_primitives_implicit_net.py
t_gate_primitives_implicit_net.v
t_gate_strength.py
t_gate_strength.v
t_gate_tree.py
t_gate_unsup.py
t_gate_unsup.v
t_gate_width_bad.out
t_gate_width_bad.py
t_gate_width_bad.v
t_gen_alw.py
t_gen_alw.v
t_gen_assign.py
t_gen_assign.v
t_gen_class.py
t_gen_class.v
t_gen_cond_bitrange.py
t_gen_cond_bitrange.v
t_gen_cond_bitrange_bad.out
t_gen_cond_bitrange_bad.py
t_gen_cond_bitrange_bad.v
t_gen_cond_const.py
t_gen_cond_const.v
t_gen_defparam.py
t_gen_defparam.v
t_gen_defparam_bad.out
t_gen_defparam_bad.py
t_gen_defparam_bad.v
t_gen_defparam_multi.out
t_gen_defparam_multi.py
t_gen_defparam_multi.v
t_gen_defparam_nfound_bad.out
t_gen_defparam_nfound_bad.py
t_gen_defparam_nfound_bad.v
t_gen_div0.py
t_gen_div0.v
t_gen_duplicated_blocks_bad.out Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_gen_duplicated_blocks_bad.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_gen_duplicated_blocks_bad.v Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_gen_for.py
t_gen_for.v
t_gen_for0.py
t_gen_for0.v
t_gen_for1.py
t_gen_for1.v
t_gen_for_interface.py
t_gen_for_interface.v
t_gen_for_overlap.py
t_gen_for_overlap.v
t_gen_for_shuffle.py
t_gen_for_shuffle.v
t_gen_forif.py
t_gen_forif.v
t_gen_genblk.out
t_gen_genblk.py
t_gen_genblk.v
t_gen_genblk_noinl.py
t_gen_if.py
t_gen_if.v
t_gen_ifelse.py
t_gen_ifelse.v
t_gen_inc.py
t_gen_inc.v
t_gen_index.py
t_gen_index.v
t_gen_intdot.py
t_gen_intdot.v
t_gen_intdot2.py
t_gen_intdot2.v
t_gen_local.py
t_gen_local.v
t_gen_lsb.py
t_gen_lsb.v
t_gen_mislevel.py
t_gen_mislevel.v
t_gen_missing.py
t_gen_missing.v
t_gen_missing_bad.out
t_gen_missing_bad.py
t_gen_missing_bad2.out
t_gen_missing_bad2.py
t_gen_missing_bad2.v
t_gen_nonconst_bad.out
t_gen_nonconst_bad.py
t_gen_nonconst_bad.v
t_gen_self_return.py
t_gen_self_return.v
t_gen_upscope.out
t_gen_upscope.py
t_gen_upscope.v
t_gen_var_bad.out
t_gen_var_bad.py
t_gen_var_bad.v
t_generate_fatal_bad.out
t_generate_fatal_bad.py
t_generate_fatal_bad.v
t_genfor_hier.py
t_genfor_hier.v
t_genfor_init_o0.py
t_genfor_init_o0.v
t_genfor_signed.out
t_genfor_signed.py
t_genfor_signed.v
t_genvar_for_bad.out
t_genvar_for_bad.py
t_genvar_for_bad.v
t_genvar_misuse_bad.out
t_genvar_misuse_bad.py
t_genvar_misuse_bad.v
t_hier_block.cpp
t_hier_block.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_hier_block.v Tests: Add case for `bind` into parametrised hier_block 2025-11-10 12:32:10 +00:00
t_hier_block0_bad.out Support dotted access to ports of a direct hier_block instance. (#6595) 2025-10-25 18:39:21 +01:00
t_hier_block0_bad.py
t_hier_block0_bad.v Support dotted access to ports of a direct hier_block instance. (#6595) 2025-10-25 18:39:21 +01:00
t_hier_block1_bad.out Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_hier_block1_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_hier_block1_bad.v
t_hier_block_binary.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_hier_block_chained.py Apply 'make format' 2025-12-17 02:18:36 +00:00
t_hier_block_chained.v
t_hier_block_chained.vlt
t_hier_block_cmake.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_hier_block_import.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_hier_block_import.v
t_hier_block_import_cmake.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_hier_block_int.py
t_hier_block_int.v
t_hier_block_libmod.py
t_hier_block_libmod.v
t_hier_block_nohier.py
t_hier_block_perf.py Apply 'make format' 2025-12-17 02:18:36 +00:00
t_hier_block_perf.v
t_hier_block_perf.vlt
t_hier_block_prot_lib.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_hier_block_prot_lib_shared.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_hier_block_sc.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_hier_block_sc_trace_fst.out Tests: Add case for `bind` into parametrised hier_block 2025-11-10 12:32:10 +00:00
t_hier_block_sc_trace_fst.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_hier_block_sc_trace_vcd.out Tests: Add case for `bind` into parametrised hier_block 2025-11-10 12:32:10 +00:00
t_hier_block_sc_trace_vcd.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_hier_block_signed_logic.py
t_hier_block_signed_logic.v
t_hier_block_struct.py
t_hier_block_struct.v
t_hier_block_struct_nohier.py
t_hier_block_threads_bad.out Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_hier_block_threads_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_hier_block_threads_bad.v
t_hier_block_threads_bad.vlt
t_hier_block_trace_fst.out Tests: Add case for `bind` into parametrised hier_block 2025-11-10 12:32:10 +00:00
t_hier_block_trace_fst.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_hier_block_trace_saif.out Tests: Add case for `bind` into parametrised hier_block 2025-11-10 12:32:10 +00:00
t_hier_block_trace_saif.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_hier_block_trace_vcd.out Tests: Add case for `bind` into parametrised hier_block 2025-11-10 12:32:10 +00:00
t_hier_block_trace_vcd.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_hier_block_type_param.py
t_hier_block_type_param.v
t_hier_block_type_param_multiple.py
t_hier_block_type_param_multiple.v
t_hier_block_type_param_multiple_instances.py
t_hier_block_type_param_multiple_instances.v
t_hier_block_type_param_nested.py
t_hier_block_type_param_nested.v
t_hier_block_type_param_notfound_bad.out
t_hier_block_type_param_notfound_bad.py
t_hier_block_type_param_typedef.v
t_hier_block_typedef_param.py
t_hier_block_vlt.py
t_hier_block_vlt.vlt
t_hier_bynum.py
t_hier_bynum.v
t_hier_parm_under.py
t_hier_parm_under.v
t_hier_task.py
t_hier_task.v
t_hier_trace.out
t_hier_trace.py Tests: Rename control files to .vc. No test function change. 2025-11-22 12:09:22 -05:00
t_hier_trace.v
t_hier_trace_noinl.out
t_hier_trace_noinl.py Tests: Rename control files to .vc. No test function change. 2025-11-22 12:09:22 -05:00
t_hierarchy_identifier.py
t_hierarchy_identifier.v
t_hierarchy_identifier_bad.out
t_hierarchy_identifier_bad.py
t_hierarchy_identifier_bad.v
t_hierarchy_unnamed.py
t_hierarchy_unnamed.v
t_if_deep.py
t_if_deep.v
t_if_same_bad.py
t_if_same_bad.v
t_if_swap.py
t_if_swap.v
t_iff.py
t_iff.v
t_implements.py
t_implements.v
t_implements_collision.py
t_implements_collision.v
t_implements_collision_bad.out
t_implements_collision_bad.py
t_implements_collision_bad.v
t_implements_contents_bad.out
t_implements_contents_bad.py
t_implements_contents_bad.v
t_implements_missing_bad.out
t_implements_missing_bad.py
t_implements_missing_bad.v
t_implements_nested.py
t_implements_nested.v
t_implements_nested_bad.out
t_implements_nested_bad.py
t_implements_nested_bad.v
t_implements_new_bad.out
t_implements_new_bad.py
t_implements_new_bad.v
t_implements_noinherit_bad.out
t_implements_noinherit_bad.py
t_implements_noinherit_bad.v
t_implements_noninterface_bad.out
t_implements_noninterface_bad.py
t_implements_noninterface_bad.v
t_implements_not_nested.py
t_implements_not_nested.v
t_implements_notfound_bad.out
t_implements_notfound_bad.py
t_implements_notfound_bad.v
t_implements_typed.py
t_implements_typed.v
t_impure_cond_empty_if.py
t_impure_cond_empty_if.v
t_inc_relink.py
t_inc_relink.v
t_incorrect_multi_driven.py
t_incorrect_multi_driven.v
t_incr_void.py
t_incr_void.v
t_increment_bad.out
t_increment_bad.py
t_increment_bad.v
t_infinite_recursion.py
t_infinite_recursion.v
t_init_concat.py
t_init_concat.v
t_initarray_nonarray.py
t_initarray_nonarray.v
t_initial.py
t_initial.v
t_initial_assign_sformatf.py
t_initial_assign_sformatf.v
t_initial_assign_sformatf_debug.py
t_initial_dlyass.py
t_initial_dlyass.v
t_initial_dlyass_bad.out
t_initial_dlyass_bad.py
t_initial_edge.py
t_initial_edge.v
t_initial_edge_bad.py
t_initial_inc.vh
t_initialstatic_circ.py
t_initialstatic_circ.v
t_inside.py
t_inside.v
t_inside2.py
t_inside2.v
t_inside3.py
t_inside3.v
t_inside_assoc_unsup.out
t_inside_assoc_unsup.py
t_inside_assoc_unsup.v
t_inside_dyn.py
t_inside_dyn.v
t_inside_extend.py
t_inside_extend.v
t_inside_nonint.py
t_inside_nonint.v
t_inside_queue_elem.py
t_inside_queue_elem.v
t_inside_tolerance_unsup.out
t_inside_tolerance_unsup.py
t_inside_tolerance_unsup.v
t_inside_unpacked.out
t_inside_unpacked.py
t_inside_unpacked.v
t_inside_unpacked_param.out
t_inside_unpacked_param.py
t_inside_unpacked_param.v
t_inside_wild.py
t_inside_wild.v
t_inst_2star_bad.out
t_inst_2star_bad.py
t_inst_2star_bad.v
t_inst_array.v
t_inst_array_bad.out
t_inst_array_bad.py
t_inst_array_bad.v
t_inst_array_connect.py
t_inst_array_connect.v
t_inst_array_inl0.py
t_inst_array_inl1.py
t_inst_array_partial.py
t_inst_array_partial.v
t_inst_array_struct.py
t_inst_array_struct.v
t_inst_ccall.py
t_inst_ccall.v
t_inst_comma.v
t_inst_comma_inl0.py
t_inst_comma_inl1.py
t_inst_darray.py
t_inst_darray.v
t_inst_dearray_slice.py
t_inst_dearray_slice.v
t_inst_dff.py
t_inst_dff.v
t_inst_dtree.v
t_inst_dtree_inla.py
t_inst_dtree_inlab.py
t_inst_dtree_inlac.py
t_inst_dtree_inlad.py
t_inst_dtree_inlb.py
t_inst_dtree_inlbc.py
t_inst_dtree_inlbd.py
t_inst_dtree_inlc.py
t_inst_dtree_inlcd.py
t_inst_dtree_inld.py
t_inst_first.py
t_inst_first.v
t_inst_first_a.v
t_inst_first_b.v
t_inst_implicit.py
t_inst_implicit.v
t_inst_long.py
t_inst_long_bad.out
t_inst_long_bad.py
t_inst_long_bad.v
t_inst_misarray2_bad.out
t_inst_misarray2_bad.py
t_inst_misarray2_bad.v
t_inst_misarray_bad.out
t_inst_misarray_bad.py
t_inst_misarray_bad.v
t_inst_mism.py
t_inst_mism.v
t_inst_missing.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_inst_missing.v
t_inst_missing_bad.out
t_inst_missing_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_inst_missing_bad.v
t_inst_missing_dot_bad.out
t_inst_missing_dot_bad.py
t_inst_missing_dot_bad.v
t_inst_mnpipe.py
t_inst_mnpipe.v
t_inst_name_long.py
t_inst_name_long.v
t_inst_nansi.py
t_inst_nansi.v
t_inst_nansi_dup_bad.out
t_inst_nansi_dup_bad.py
t_inst_nansi_dup_bad.v
t_inst_nansi_mism_bad.out
t_inst_nansi_mism_bad.py
t_inst_nansi_mism_bad.v
t_inst_nansi_param.py
t_inst_nansi_param.v
t_inst_noname_bad.out
t_inst_noname_bad.py
t_inst_noname_bad.v
t_inst_notunsized.py
t_inst_notunsized.v
t_inst_overwide.py
t_inst_overwide.v
t_inst_overwide_bad.out
t_inst_overwide_bad.py
t_inst_param_comma_bad.out
t_inst_param_comma_bad.py
t_inst_param_comma_bad.v
t_inst_param_override_bad.out
t_inst_param_override_bad.py
t_inst_param_override_bad.v
t_inst_paren_bad.out
t_inst_paren_bad.py
t_inst_paren_bad.v
t_inst_pin_place_bad.out
t_inst_pin_place_bad.py
t_inst_pin_place_bad.v
t_inst_pin_realnreal.out
t_inst_pin_realnreal.py
t_inst_pin_realnreal.v
t_inst_port_array.py
t_inst_port_array.v
t_inst_prepost.py
t_inst_prepost.v
t_inst_public.py
t_inst_public.v
t_inst_recurse2_bad.out
t_inst_recurse2_bad.py
t_inst_recurse2_bad.v
t_inst_recurse_bad.out
t_inst_recurse_bad.py
t_inst_recurse_bad.v
t_inst_signed.py
t_inst_signed.v
t_inst_signed1.py
t_inst_signed1.v
t_inst_slice.py
t_inst_slice.v
t_inst_slice_noinl.py
t_inst_slice_part_select.py
t_inst_slice_part_select.v
t_inst_sv.py
t_inst_sv.v
t_inst_tree.v
t_inst_tree_inl0_pub0.py
t_inst_tree_inl0_pub0.vlt
t_inst_tree_inl0_pub1.py Fix Dfg reusing volatile variables 2025-12-05 14:12:36 +00:00
t_inst_tree_inl0_pub1.vlt
t_inst_tree_inl1_pub0.py
t_inst_tree_inl1_pub0.vlt
t_inst_tree_inl1_pub1.py
t_inst_tree_inl1_pub1.vlt
t_inst_v2k.py
t_inst_v2k.v
t_inst_v2k__sub.vi
t_inst_wideconst.py
t_inst_wideconst.v
t_interconnect.out
t_interconnect.py
t_interconnect.v
t_interconnect_bad.out
t_interconnect_bad.py
t_interconnect_bad.v
t_interface.py
t_interface.v
t_interface1.py
t_interface1.v
t_interface1_modport.py
t_interface1_modport.v
t_interface1_modport_nansi.py
t_interface1_modport_noinl.py
t_interface1_modport_trace.py
t_interface1_noinl.py
t_interface2.py
t_interface2.v
t_interface2_noinl.py
t_interface_and_struct_pattern.py
t_interface_and_struct_pattern.v
t_interface_ar2a.py
t_interface_ar2a.v
t_interface_ar2b.py
t_interface_ar2b.v
t_interface_ar3.out
t_interface_ar3.py
t_interface_ar3.v
t_interface_array.py
t_interface_array.v
t_interface_array2.py
t_interface_array2.v
t_interface_array2_coverage.py
t_interface_array2_noinl.py
t_interface_array3.py
t_interface_array3.v
t_interface_array4.py
t_interface_array4.v
t_interface_array_bad.out
t_interface_array_bad.py
t_interface_array_bad.v
t_interface_array_modport.py
t_interface_array_modport.v
t_interface_array_nocolon.py
t_interface_array_nocolon.v
t_interface_array_nocolon_bad.out
t_interface_array_nocolon_bad.py
t_interface_array_nocolon_bad.v
t_interface_array_noinl.py
t_interface_array_parameter_access.py
t_interface_array_parameter_access.v Fix HIERPARAM to be suppressed for interface ports (#6587) (#6609) 2025-10-31 15:49:30 -04:00
t_interface_arraymux.py
t_interface_arraymux.v
t_interface_asvar_bad.out
t_interface_asvar_bad.py
t_interface_asvar_bad.v
t_interface_bind_public.py
t_interface_bind_public.v
t_interface_colon_bad.out
t_interface_colon_bad.py
t_interface_colon_bad.v
t_interface_dearray.py
t_interface_dearray.v
t_interface_dearray_bad.out
t_interface_dearray_bad.py
t_interface_dearray_bad.v
t_interface_derived_type.py
t_interface_derived_type.v
t_interface_down.py
t_interface_down.v
t_interface_down_inla.py
t_interface_down_inlab.py
t_interface_down_inlac.py
t_interface_down_inlad.py
t_interface_down_inlb.py
t_interface_down_inlbc.py
t_interface_down_inlbd.py
t_interface_down_inlc.py
t_interface_down_inlcd.py
t_interface_down_inld.py
t_interface_down_noinl.py
t_interface_dups.py
t_interface_dups.v
t_interface_find.py
t_interface_find.v
t_interface_find_ifc.v
t_interface_gen.py
t_interface_gen.v
t_interface_gen2.py
t_interface_gen2.v
t_interface_gen2_collision.py
t_interface_gen2_noinl.py
t_interface_gen3.py
t_interface_gen3.v
t_interface_gen3_collision.py
t_interface_gen3_noinl.py
t_interface_gen4.py
t_interface_gen4.v
t_interface_gen4_noinl.py
t_interface_gen5.py
t_interface_gen5.v
t_interface_gen5_noinl.py
t_interface_gen6.py
t_interface_gen6.v
t_interface_gen6_noinl.py
t_interface_gen7.py
t_interface_gen7.v
t_interface_gen7_noinl.py
t_interface_gen8.py
t_interface_gen8.v
t_interface_gen8_noinl.py
t_interface_gen9.py
t_interface_gen9.v
t_interface_gen9_noinl.py
t_interface_gen10.py
t_interface_gen10.v
t_interface_gen10_noinl.py
t_interface_gen11.py
t_interface_gen11.v
t_interface_gen11_noinl.py
t_interface_gen12.py
t_interface_gen12.v
t_interface_gen12_noinl.py
t_interface_gen13.py
t_interface_gen13.v
t_interface_gen14.py Fix generate function(s) inside of generate blocks (#1011) (#6789) 2025-12-10 20:53:19 -05:00
t_interface_gen14.v Fix generate function(s) inside of generate blocks (#1011) (#6789) 2025-12-10 20:53:19 -05:00
t_interface_gen_noinl.py
t_interface_generic.py
t_interface_generic.v
t_interface_generic2.py
t_interface_generic2.v
t_interface_generic_array.py
t_interface_generic_array.v
t_interface_generic_bad.out
t_interface_generic_bad.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_interface_generic_bad.v
t_interface_generic_bad2.out
t_interface_generic_bad2.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_interface_generic_bad2.v
t_interface_generic_bad3.out
t_interface_generic_bad3.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_interface_generic_bad3.v
t_interface_generic_bad4.out
t_interface_generic_bad4.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_interface_generic_bad4.v
t_interface_generic_function.py
t_interface_generic_function.v
t_interface_generic_function_bad.out
t_interface_generic_function_bad.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_interface_generic_function_bad.v
t_interface_generic_iface_param.py
t_interface_generic_iface_param.v
t_interface_generic_mod_param.py
t_interface_generic_mod_param.v
t_interface_generic_modport.py
t_interface_generic_modport.v
t_interface_generic_modport2.py
t_interface_generic_modport2.v
t_interface_generic_modport_bad.out
t_interface_generic_modport_bad.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_interface_generic_modport_bad.v
t_interface_generic_modport_bad2.out
t_interface_generic_modport_bad2.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_interface_generic_modport_bad2.v
t_interface_generic_modport_bad3.out
t_interface_generic_modport_bad3.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_interface_generic_modport_bad3.v
t_interface_generic_modport_function.py
t_interface_generic_modport_function.v
t_interface_generic_modport_function2.py
t_interface_generic_modport_function2.v
t_interface_generic_modport_function_bad.out
t_interface_generic_modport_function_bad.py
t_interface_generic_modport_function_bad.v
t_interface_generic_modport_param.py
t_interface_generic_modport_param.v
t_interface_generic_modport_task.py
t_interface_generic_modport_task.v
t_interface_generic_modport_task2.py
t_interface_generic_modport_task2.v
t_interface_generic_modport_task_bad.out
t_interface_generic_modport_task_bad.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_interface_generic_modport_task_bad.v
t_interface_generic_normal.py
t_interface_generic_normal.v
t_interface_generic_positional.py
t_interface_generic_positional.v
t_interface_generic_submod_param.py
t_interface_generic_submod_param.v
t_interface_generic_task.py
t_interface_generic_task.v
t_interface_generic_task2.py
t_interface_generic_task2.v
t_interface_generic_task_bad.out
t_interface_generic_task_bad.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_interface_generic_task_bad.v
t_interface_hidden.py
t_interface_hidden.v
t_interface_import_param.py
t_interface_import_param.v
t_interface_initial.py Fix duplicate name error with interface initial blocks (#6804) (#6805). 2025-12-16 20:57:58 -05:00
t_interface_initial.v Fix duplicate name error with interface initial blocks (#6804) (#6805). 2025-12-16 20:57:58 -05:00
t_interface_inl.py
t_interface_localparam.out
t_interface_localparam.py
t_interface_localparam.v Fix HIERPARAM to be suppressed for interface ports (#6587) (#6609) 2025-10-31 15:49:30 -04:00
t_interface_mismodport_bad.out
t_interface_mismodport_bad.py
t_interface_mismodport_bad.v
t_interface_missing_bad.out
t_interface_missing_bad.py
t_interface_missing_bad.v
t_interface_modport.py
t_interface_modport.v
t_interface_modport_bad.out
t_interface_modport_bad.py
t_interface_modport_bad.v
t_interface_modport_coverage.py
t_interface_modport_dir_bad.out
t_interface_modport_dir_bad.py
t_interface_modport_dir_bad.v
t_interface_modport_export.out
t_interface_modport_export.py
t_interface_modport_export.v
t_interface_modport_expr.out warn for modport expr and fail tests 2025-12-20 21:55:08 +00:00
t_interface_modport_expr.py warn for modport expr and fail tests 2025-12-20 21:55:08 +00:00
t_interface_modport_expr.v interface_modport_expr tests renamed 2025-12-20 21:07:24 +00:00
t_interface_modport_expr_partsel.out warn for modport expr and fail tests 2025-12-20 21:55:08 +00:00
t_interface_modport_expr_partsel.py warn for modport expr and fail tests 2025-12-20 21:55:08 +00:00
t_interface_modport_expr_partsel.v interface_modport_expr tests renamed 2025-12-20 21:07:24 +00:00
t_interface_modport_import.py
t_interface_modport_import.v
t_interface_modport_import_export_list.py
t_interface_modport_import_export_list.v
t_interface_modport_import_noinl.py
t_interface_modport_inl.py
t_interface_modport_noinl.py
t_interface_modportlist.py
t_interface_modportlist.v
t_interface_mp_func.py
t_interface_mp_func.v
t_interface_mp_func_noinl.py
t_interface_nansi.py
t_interface_nansi.v
t_interface_ndup_member.py Fix duplicate member on interface always 2025-11-29 10:24:37 -05:00
t_interface_ndup_member.v Fix duplicate member on interface always 2025-11-29 10:24:37 -05:00
t_interface_nest.py
t_interface_nest.v
t_interface_nest_noinl.py
t_interface_noinl.py
t_interface_notpublic.py
t_interface_notpublic.v
t_interface_param1.py
t_interface_param1.v
t_interface_param2.py
t_interface_param2.v
t_interface_param_another_bad.out Fix HIERPARAM to be suppressed for interface ports (#6587) (#6609) 2025-10-31 15:49:30 -04:00
t_interface_param_another_bad.py
t_interface_param_another_bad.v Fix HIERPARAM to be suppressed for interface ports (#6587) (#6609) 2025-10-31 15:49:30 -04:00
t_interface_param_dependency.py Fix HIERPARAM to be suppressed for interface ports (#6587) (#6609) 2025-10-31 15:49:30 -04:00
t_interface_param_dependency.v Fix interface parameter access in parameter map (#6587) (#6621) (#6623) 2025-10-31 23:06:26 -04:00
t_interface_param_genblk.out
t_interface_param_genblk.py
t_interface_param_genblk.v
t_interface_param_local_access.py Fix local interface parameter hierarchical access (#6661) (#6666) 2025-11-09 10:48:55 -05:00
t_interface_param_local_access.v Fix local interface parameter hierarchical access (#6661) (#6666) 2025-11-09 10:48:55 -05:00
t_interface_parameter_access.py
t_interface_parameter_access.v Fix interface parameter access in parameter map (#6587) (#6621) (#6623) 2025-10-31 23:06:26 -04:00
t_interface_paren_missing_bad.out
t_interface_paren_missing_bad.py
t_interface_paren_missing_bad.v
t_interface_parent_scope.py
t_interface_parent_scope.v
t_interface_ref_trace.out
t_interface_ref_trace.py
t_interface_ref_trace.v
t_interface_ref_trace_fst.out
t_interface_ref_trace_fst.py
t_interface_ref_trace_fst_sc.out
t_interface_ref_trace_fst_sc.py
t_interface_ref_trace_inla.py
t_interface_ref_trace_inlab.py
t_interface_ref_trace_inlb.py
t_interface_ref_trace_noinl.out
t_interface_ref_trace_noinl.py
t_interface_ref_trace_noinl_notrace.py
t_interface_ref_trace_saif.out
t_interface_ref_trace_saif.py
t_interface_size_bad.out
t_interface_size_bad.py
t_interface_size_bad.v
t_interface_star.py
t_interface_star.v
t_interface_top_bad.out
t_interface_top_bad.py
t_interface_top_bad.v
t_interface_twod.py
t_interface_twod.v
t_interface_twod_noinl.py
t_interface_typedef.out Internals: Defer interface typedefs, and add more tests (#3441 tests) 2025-11-21 19:42:22 -05:00
t_interface_typedef.py Fix typedef derived from type defined inside interface (#3441) (#6776) 2025-12-08 15:36:21 -05:00
t_interface_typedef.v Internals: Defer interface typedefs, and add more tests (#3441 tests) 2025-11-21 19:42:22 -05:00
t_interface_typedef2.out Internals: Defer interface typedefs, and add more tests (#3441 tests) 2025-11-21 19:42:22 -05:00
t_interface_typedef2.py Fix typedef derived from type defined inside interface (#3441) (#6776) 2025-12-08 15:36:21 -05:00
t_interface_typedef2.v Internals: Defer interface typedefs, and add more tests (#3441 tests) 2025-11-21 19:42:22 -05:00
t_interface_typedef3.out Fix typedef derived from type defined inside interface (#3441) (#6776) 2025-12-08 15:36:21 -05:00
t_interface_typedef3.py Internals: Defer interface typedefs, and add more tests (#3441 tests) 2025-11-21 19:42:22 -05:00
t_interface_typedef3.v Internals: Defer interface typedefs, and add more tests (#3441 tests) 2025-11-21 19:42:22 -05:00
t_interface_typedef_bad.out Fix typedef derived from type defined inside interface (#3441) (#6776) 2025-12-08 15:36:21 -05:00
t_interface_typedef_bad.py Internals: Defer interface typedefs, and add more tests (#3441 tests) 2025-11-21 19:42:22 -05:00
t_interface_typedef_bad.v Internals: Defer interface typedefs, and add more tests (#3441 tests) 2025-11-21 19:42:22 -05:00
t_interface_typo_bad.out
t_interface_typo_bad.py
t_interface_typo_bad.v
t_interface_virtual.out
t_interface_virtual.py
t_interface_virtual.v
t_interface_virtual_bad.out
t_interface_virtual_bad.py
t_interface_virtual_bad.v
t_interface_virtual_controlflow.out
t_interface_virtual_controlflow.py
t_interface_virtual_controlflow.v
t_interface_virtual_inl.py
t_interface_virtual_missing_bad.out
t_interface_virtual_missing_bad.py
t_interface_virtual_missing_bad.v
t_interface_virtual_opt.py
t_interface_virtual_opt.v
t_interface_virtual_param.py
t_interface_virtual_param.v
t_interface_virtual_sched_act.out
t_interface_virtual_sched_act.py
t_interface_virtual_sched_act.v
t_interface_virtual_sched_ico.out
t_interface_virtual_sched_ico.py
t_interface_virtual_sched_ico.v
t_interface_virtual_sched_nba.out
t_interface_virtual_sched_nba.py
t_interface_virtual_sched_nba.v
t_interface_virtual_timing.out
t_interface_virtual_timing.py
t_interface_virtual_timing.v
t_interface_virtual_unsup.out
t_interface_virtual_unsup.py
t_interface_virtual_unsup.v
t_interface_virtual_unused.py
t_interface_virtual_unused.v
t_interface_virtual_unused2.py
t_interface_virtual_unused2.v
t_interface_virtual_unused3.py
t_interface_virtual_unused3.v
t_interface_wire_bad.out
t_interface_wire_bad.py
t_interface_wire_bad.v
t_interface_wire_bad_param.out
t_interface_wire_bad_param.py
t_interface_wire_bad_param.v
t_interface_wrong_bad.out
t_interface_wrong_bad.py
t_interface_wrong_bad.v
t_json_only_begin_hier.out Support complex std::randomize patterns (#6736) (#6737) 2025-12-01 16:26:16 -05:00
t_json_only_begin_hier.py
t_json_only_begin_hier.v
t_json_only_debugcheck.out Support complex std::randomize patterns (#6736) (#6737) 2025-12-01 16:26:16 -05:00
t_json_only_debugcheck.py
t_json_only_first.out Support complex std::randomize patterns (#6736) (#6737) 2025-12-01 16:26:16 -05:00
t_json_only_first.py
t_json_only_first.v
t_json_only_flat.out Support complex std::randomize patterns (#6736) (#6737) 2025-12-01 16:26:16 -05:00
t_json_only_flat.py
t_json_only_flat_no_inline_mod.out Support complex std::randomize patterns (#6736) (#6737) 2025-12-01 16:26:16 -05:00
t_json_only_flat_no_inline_mod.py
t_json_only_flat_no_inline_mod.v
t_json_only_flat_pub_mod.out Support complex std::randomize patterns (#6736) (#6737) 2025-12-01 16:26:16 -05:00
t_json_only_flat_pub_mod.py
t_json_only_flat_pub_mod.v
t_json_only_flat_vlvbound.out Support complex std::randomize patterns (#6736) (#6737) 2025-12-01 16:26:16 -05:00
t_json_only_flat_vlvbound.py
t_json_only_flat_vlvbound.v
t_json_only_output.out Support complex std::randomize patterns (#6736) (#6737) 2025-12-01 16:26:16 -05:00
t_json_only_output.py
t_json_only_output.v
t_json_only_tag.out modport expr review changes 2025-12-21 12:41:20 +00:00
t_json_only_tag.py
t_json_only_tag.v
t_jumps_uninit_destructor_call.py
t_jumps_uninit_destructor_call.v
t_langext_1.py
t_langext_1.v
t_langext_1_bad.out
t_langext_1_bad.py
t_langext_1d.py
t_langext_1d_bad.out
t_langext_1d_bad.py
t_langext_2.py
t_langext_2.v
t_langext_2_bad.out
t_langext_2_bad.py
t_langext_3.py
t_langext_3.v
t_langext_3_bad.out
t_langext_3_bad.py
t_langext_4.py
t_langext_4_bad.out
t_langext_4_bad.py
t_langext_2012ext.py
t_langext_2017ext.py
t_langext_2023ext.py
t_langext_order.py
t_langext_order.v
t_langext_order_sub.v
t_leak.cpp
t_leak.py
t_leak.v
t_let.py
t_let.v
t_let_arg_bad.out
t_let_arg_bad.py
t_let_arg_bad.v
t_let_recurse_bad.out
t_let_recurse_bad.py
t_let_recurse_bad.v
t_let_stmt_bad.out
t_let_stmt_bad.py
t_let_stmt_bad.v
t_let_unsup.out
t_let_unsup.py
t_let_unsup.v
t_lib.py
t_lib_clk_vec.py Fix --lib-create with multi-bit clocks (#6759) 2025-12-05 15:21:35 +00:00
t_lib_clk_vec.v Fix --lib-create with multi-bit clocks (#6759) 2025-12-05 15:21:35 +00:00
t_lib_nolib.py
t_lib_prof_exec.py Fix --prof-exec using --lib-create 2025-11-25 10:08:03 +00:00
t_lib_prot.py
t_lib_prot.v
t_lib_prot_clk_gated.py
t_lib_prot_comb.py
t_lib_prot_comb.v
t_lib_prot_delay_bad.out
t_lib_prot_delay_bad.py
t_lib_prot_delay_bad.v
t_lib_prot_exe_bad.out
t_lib_prot_exe_bad.py
t_lib_prot_inout_bad.out
t_lib_prot_inout_bad.py
t_lib_prot_inout_bad.v
t_lib_prot_secret.py
t_lib_prot_secret.v
t_lib_prot_shared.py
t_lint_always_comb_automatic.py
t_lint_always_comb_automatic.v
t_lint_always_comb_bad.out
t_lint_always_comb_bad.py
t_lint_always_comb_bad.v
t_lint_always_comb_iface.py
t_lint_always_comb_iface.v
t_lint_always_comb_multidriven_bad.out
t_lint_always_comb_multidriven_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_always_comb_multidriven_bad.v
t_lint_always_comb_multidriven_compile_public_flat_bad.out
t_lint_always_comb_multidriven_compile_public_flat_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_assigneqexpr.py
t_lint_assigneqexpr.v
t_lint_assigneqexpr_bad.out
t_lint_assigneqexpr_bad.py
t_lint_badvltpragma_bad.out Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_lint_badvltpragma_bad.py
t_lint_badvltpragma_bad.v
t_lint_blkseq_bad.out
t_lint_blkseq_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_blkseq_bad.v
t_lint_blkseq_loop.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_blkseq_loop.v
t_lint_blkseq_noedge.py
t_lint_blkseq_noedge.v
t_lint_block_redecl_bad.out Internals: Refactor AstNodeBlock representation (#6280) (#6588) 2025-10-24 14:00:07 +01:00
t_lint_block_redecl_bad.py
t_lint_block_redecl_bad.v
t_lint_bsspace_bad.out
t_lint_bsspace_bad.py
t_lint_bsspace_bad.v
t_lint_caseincomplete_bad.out
t_lint_caseincomplete_bad.py
t_lint_caseincomplete_bad.v
t_lint_cmpconst_bad.out
t_lint_cmpconst_bad.py
t_lint_cmpconst_bad.v
t_lint_colonplus_bad.out
t_lint_colonplus_bad.py
t_lint_colonplus_bad.v
t_lint_comb_bad.out
t_lint_comb_bad.py
t_lint_comb_bad.v
t_lint_comb_use.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_comb_use.v
t_lint_const_func_dpi_bad.out
t_lint_const_func_dpi_bad.py
t_lint_const_func_dpi_bad.v
t_lint_const_func_gen_bad.out
t_lint_const_func_gen_bad.py
t_lint_const_func_gen_bad.v
t_lint_contassreg_bad.out
t_lint_contassreg_bad.py
t_lint_contassreg_bad.v
t_lint_declfilename.py
t_lint_declfilename.v
t_lint_declfilename_bad.out
t_lint_declfilename_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_declfilename_bbox.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_declfilename_bbox.v
t_lint_defparam.py
t_lint_defparam.v
t_lint_defparam_bad.out
t_lint_defparam_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_didnotconverge_bad.out Internals: Make all scheduling region use a single trigger vector. (#6620) 2025-11-01 15:43:20 +00:00
t_lint_didnotconverge_bad.py
t_lint_didnotconverge_bad.v
t_lint_didnotconverge_nodbg_bad.out Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_lint_didnotconverge_nodbg_bad.py
t_lint_dtype_compare.py
t_lint_dtype_compare.v
t_lint_dtype_compare_bad.out
t_lint_dtype_compare_bad.py
t_lint_dtype_compare_bad.v
t_lint_edge_real_bad.out
t_lint_edge_real_bad.py
t_lint_edge_real_bad.v
t_lint_eofnewline.out Tests: Rename tests to match warning name 2025-10-30 18:32:25 -04:00
t_lint_eofnewline.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_eofnewline_bad.out Tests: Rename tests to match warning name 2025-10-30 18:32:25 -04:00
t_lint_eofnewline_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_eofnewline_vlt.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_eofnewline_vlt.vlt Fix waiving messages with empty contents (#6610). 2025-10-30 18:41:18 -04:00
t_lint_ftask_output_assign_bad.out
t_lint_ftask_output_assign_bad.py
t_lint_ftask_output_assign_bad.v
t_lint_functimectl_bad.out
t_lint_functimectl_bad.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_lint_functimectl_bad.v
t_lint_genunnamed_bad.out
t_lint_genunnamed_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_genunnamed_bad.v
t_lint_historical.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_historical.v
t_lint_iface_array_topmodule1.py
t_lint_iface_array_topmodule1.v
t_lint_iface_array_topmodule2.py
t_lint_iface_array_topmodule2.v
t_lint_iface_array_topmodule3.py
t_lint_iface_array_topmodule3.v
t_lint_iface_array_topmodule_bad.out
t_lint_iface_array_topmodule_bad.py
t_lint_iface_array_topmodule_bad.v
t_lint_iface_topmodule1.py
t_lint_iface_topmodule1.v
t_lint_iface_topmodule2.py
t_lint_iface_topmodule2.v
t_lint_iface_topmodule3.py
t_lint_iface_topmodule3.v
t_lint_iface_topmodule_bad.out
t_lint_iface_topmodule_bad.py
t_lint_iface_topmodule_bad.v
t_lint_ifdepth_bad.out
t_lint_ifdepth_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_ifdepth_bad.v
t_lint_implicit.py
t_lint_implicit.v
t_lint_implicit_bad.out
t_lint_implicit_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_implicit_def_bad.out
t_lint_implicit_def_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_implicit_def_bad.v
t_lint_implicit_func_bad.out
t_lint_implicit_func_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_implicit_func_bad.v
t_lint_implicit_port.py
t_lint_implicit_port.v
t_lint_implicit_type_bad.out
t_lint_implicit_type_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_implicit_type_bad.v
t_lint_implicitstatic_bad.out Fix false IMPLICITSTATIC on localparam (#6835) 2025-12-18 12:51:31 +00:00
t_lint_implicitstatic_bad.py
t_lint_implicitstatic_bad.v Fix false IMPLICITSTATIC on localparam (#6835) 2025-12-18 12:51:31 +00:00
t_lint_import_name2_bad.out
t_lint_import_name2_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_import_name2_bad.v
t_lint_import_name_bad.out
t_lint_import_name_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_import_name_bad.v
t_lint_importstar_bad.out
t_lint_importstar_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_importstar_bad.v
t_lint_in_inc_bad.out
t_lint_in_inc_bad.py
t_lint_in_inc_bad.v
t_lint_in_inc_bad_1.vh
t_lint_in_inc_bad_2.vh
t_lint_incabspath.py
t_lint_incabspath.v
t_lint_incabspath_bad.out
t_lint_incabspath_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_infinite.py
t_lint_infinite.v
t_lint_infinite_bad.out
t_lint_infinite_bad.py
t_lint_infinite_bad.v
t_lint_inherit.py
t_lint_inherit.v
t_lint_input_eq_good.py
t_lint_input_eq_good.v
t_lint_latch_1.py
t_lint_latch_1.v
t_lint_latch_2.py
t_lint_latch_2.v
t_lint_latch_3.py
t_lint_latch_3.v
t_lint_latch_4.py
t_lint_latch_4.v
t_lint_latch_5.out
t_lint_latch_5.py
t_lint_latch_5.v
t_lint_latch_6.py
t_lint_latch_6.v
t_lint_latch_7.py
t_lint_latch_7.v
t_lint_latch_8.py
t_lint_latch_8.v
t_lint_latch_bad.out
t_lint_latch_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_latch_bad.v
t_lint_latch_bad_2.out
t_lint_latch_bad_2.py
t_lint_latch_bad_2.v
t_lint_latch_bad_3.out
t_lint_latch_bad_3.py
t_lint_latch_bad_3.v
t_lint_latch_casei_bad.out
t_lint_latch_casei_bad.py
t_lint_latch_casei_bad.v
t_lint_lint_bad.out Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_lint_lint_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_lint_bad.v Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_lint_lint_no.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_literal_bad.out
t_lint_literal_bad.py
t_lint_literal_bad.v
t_lint_misindent_bad.out
t_lint_misindent_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_misindent_bad.v
t_lint_mod_paren_bad.out
t_lint_mod_paren_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_mod_paren_bad.v
t_lint_modmissing.py
t_lint_modmissing.v
t_lint_modport_dir_bad.out
t_lint_modport_dir_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_modport_dir_bad.v
t_lint_multidriven_bad.out
t_lint_multidriven_bad.py
t_lint_multidriven_bad.v
t_lint_multiple_msgs.py
t_lint_multiple_msgs.v
t_lint_nolatch_bad.out
t_lint_nolatch_bad.py
t_lint_nolatch_bad.v
t_lint_noreturn.py
t_lint_noreturn.v
t_lint_noreturn_bad.out
t_lint_noreturn_bad.py
t_lint_noreturn_param.py
t_lint_noreturn_param.v
t_lint_noreturn_param_bad.out
t_lint_noreturn_param_bad.py
t_lint_noreturn_param_bad.v
t_lint_nullport_bad.out
t_lint_nullport_bad.py
t_lint_nullport_bad.v
t_lint_numwidth.py
t_lint_numwidth.v
t_lint_once_bad.out
t_lint_once_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_once_bad.v
t_lint_only.py
t_lint_only.v
t_lint_paramnodefault.py
t_lint_paramnodefault.v
t_lint_paramnodefault_bad.out
t_lint_paramnodefault_bad.py
t_lint_pindup_bad.out
t_lint_pindup_bad.py
t_lint_pindup_bad.v
t_lint_pinmissing_bad.out
t_lint_pinmissing_bad.py
t_lint_pinmissing_bad.v
t_lint_pinnotfound.py
t_lint_pinnotfound.v
t_lint_pinnotfound_bad.out
t_lint_pinnotfound_bad.py
t_lint_pinnotfound_bad.v
t_lint_pkg_colon_bad.out
t_lint_pkg_colon_bad.py
t_lint_pkg_colon_bad.v
t_lint_pragma_protected.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_pragma_protected.v
t_lint_pragma_protected_bad.out
t_lint_pragma_protected_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_pragma_protected_bad.v
t_lint_procassinit_bad.out
t_lint_procassinit_bad.py
t_lint_procassinit_bad.v
t_lint_range_negative_bad.out
t_lint_range_negative_bad.py
t_lint_range_negative_bad.v
t_lint_realcvt_bad.out
t_lint_realcvt_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_realcvt_bad.v
t_lint_repeat_bad.out
t_lint_repeat_bad.py
t_lint_repeat_bad.v
t_lint_restore_bad.out
t_lint_restore_bad.py
t_lint_restore_bad.v
t_lint_restore_prag_bad.out Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_lint_restore_prag_bad.py
t_lint_restore_prag_bad.v
t_lint_setout_bad.out
t_lint_setout_bad.py
t_lint_setout_bad.v
t_lint_setout_bad_noinl.out
t_lint_setout_bad_noinl.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_sideeffect_bad.out
t_lint_sideeffect_bad.py
t_lint_sideeffect_bad.v
t_lint_stmtdly_bad.out
t_lint_stmtdly_bad.py
t_lint_stmtdly_bad.v
t_lint_style_bad.out Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_lint_style_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_style_bad.v Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_lint_style_no.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_subout_bad.out
t_lint_subout_bad.py
t_lint_subout_bad.v
t_lint_syncasyncnet_bad.out
t_lint_syncasyncnet_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_syncasyncnet_bad.v
t_lint_top_bad.out
t_lint_top_bad.py
t_lint_top_bad.v
t_lint_unsigned_bad.out
t_lint_unsigned_bad.py
t_lint_unsigned_bad.v
t_lint_unsup_deassign.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_unsup_deassign.v
t_lint_unsup_mixed.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_unsup_mixed.v
t_lint_unused.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_unused.v
t_lint_unused_bad.out
t_lint_unused_bad.py
t_lint_unused_bad.v
t_lint_unused_iface.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_unused_iface.v
t_lint_unused_iface_bad.out
t_lint_unused_iface_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_unused_iface_bad.v
t_lint_unused_tri.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_unused_tri.v
t_lint_unusedloop_removed_bad.out Tests: Rename tests to match warning name 2025-10-30 18:32:25 -04:00
t_lint_unusedloop_removed_bad.py Tests: Rename tests to match warning name 2025-10-30 18:32:25 -04:00
t_lint_unusedloop_removed_bad.v Tests: Rename tests to match warning name 2025-10-30 18:32:25 -04:00
t_lint_vcmarker_bad.out
t_lint_vcmarker_bad.py
t_lint_vcmarker_bad.v
t_lint_waitconst_bad.out Tests: Rename tests to match warning name 2025-10-30 18:32:25 -04:00
t_lint_waitconst_bad.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_lint_warn_incfile2_bad.out
t_lint_warn_incfile2_bad.py
t_lint_warn_incfile2_bad.v
t_lint_warn_incfile2_bad_b.vh
t_lint_warn_line_bad.out
t_lint_warn_line_bad.py
t_lint_warn_line_bad.v
t_lint_width.py
t_lint_width.v
t_lint_width_arraydecl.py
t_lint_width_arraydecl.v
t_lint_width_bad.out
t_lint_width_bad.py
t_lint_width_bad.v
t_lint_width_cast.py
t_lint_width_cast.v
t_lint_width_genfor.py
t_lint_width_genfor.v
t_lint_width_genfor_bad.out
t_lint_width_genfor_bad.py
t_lint_width_genfor_bad.v
t_lint_width_shift_bad.out
t_lint_width_shift_bad.py
t_lint_width_shift_bad.v
t_lint_widthexpand_docs_bad.out
t_lint_widthexpand_docs_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_lint_widthexpand_docs_bad.v
t_lparam_assign_iface_array_typedef.py Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_array_typedef.v Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_array_typedef2.py Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_array_typedef2.v Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef.py Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef.v Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef2.py Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef2.v Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef3.py Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef3.v Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested.py Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested.v Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested2.py Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested2.v Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested3.py Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested3.v Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested4.py Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested4.v Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested5.py Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested5.v Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested6.py Fix typedef derived from type defined inside interface (#3441) (#6776) 2025-12-08 15:36:21 -05:00
t_lparam_assign_iface_typedef_nested6.v Fix typedef derived from type defined inside interface (#3441) (#6776) 2025-12-08 15:36:21 -05:00
t_lparam_assign_iface_typedef_nested_modules.py Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested_modules.v Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested_modules2.py Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested_modules2.v Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested_modules3.py Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested_modules3.v Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested_modules_pkg.py Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested_modules_pkg.v Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested_modules_pkg2.py Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested_modules_pkg2.v Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested_modules_pkg3.py Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested_modules_pkg3.v Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested_modules_pkg4.py Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested_modules_pkg4.v Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested_modules_pkg5.py Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested_modules_pkg5.v Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested_pkg.py Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested_pkg.v Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested_pkg2.py Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested_pkg2.v Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested_pkg3.py Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_lparam_assign_iface_typedef_nested_pkg3.v Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_mailbox.py
t_mailbox.v
t_mailbox_array.py
t_mailbox_array.v
t_mailbox_bad.out
t_mailbox_bad.py
t_mailbox_bad.v
t_mailbox_class.py
t_mailbox_class.v
t_mailbox_concurrent.out
t_mailbox_concurrent.py
t_mailbox_concurrent.v
t_mailbox_notiming.py
t_mailbox_notiming.v
t_mailbox_std.py
t_mailbox_unbounded.py
t_mailbox_unbounded.v
t_math_arith.py
t_math_arith.v
t_math_clog2.py
t_math_clog2.v
t_math_cmp.py
t_math_cmp.v
t_math_concat.py
t_math_concat.v
t_math_concat0.py
t_math_concat0.v
t_math_concat64.py
t_math_concat64.v
t_math_cond_clean.py
t_math_cond_clean.v
t_math_cond_huge.py
t_math_cond_huge.v
t_math_cond_huge_noexpand.py
t_math_const.py
t_math_const.v
t_math_countbits.py
t_math_countbits.v
t_math_countbits2_bad.out
t_math_countbits2_bad.py
t_math_countbits2_bad.v
t_math_countbits_bad.out
t_math_countbits_bad.py
t_math_countbits_bad.v
t_math_countbits_tri.py
t_math_countbits_tri.v
t_math_cv_bitop.out
t_math_cv_bitop.py
t_math_cv_bitop.v
t_math_cv_concat.py
t_math_cv_concat.v
t_math_cv_format.py
t_math_cv_format.v
t_math_div.py
t_math_div.v
t_math_div0.py
t_math_div0.v
t_math_div_noexpand.py
t_math_divw.py
t_math_divw.v
t_math_eq.py
t_math_eq.v
t_math_eq_bad.out
t_math_eq_bad.py
t_math_eq_bad.v
t_math_eq_noexpand.py
t_math_equal.py
t_math_equal.v
t_math_imm.py
t_math_imm.v
t_math_imm2.cpp
t_math_imm2.py
t_math_imm2.v
t_math_insert_bound.py
t_math_insert_bound.v
t_math_mul.py
t_math_mul.v
t_math_pick.py
t_math_pick.v
t_math_pow.out
t_math_pow.py
t_math_pow.v
t_math_pow2.py
t_math_pow2.v
t_math_pow3.py
t_math_pow3.v
t_math_pow4.py
t_math_pow4.v
t_math_pow5.py
t_math_pow5.v
t_math_pow6.py
t_math_pow6.v
t_math_pow7.cpp
t_math_pow7.py
t_math_pow7.v
t_math_precedence.py
t_math_precedence.v
t_math_real.py
t_math_real.v
t_math_real_private.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_math_real_public.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_math_real_public.v Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_math_real_random.py
t_math_real_random.v
t_math_real_round.py
t_math_real_round.v
t_math_red.py
t_math_red.v
t_math_red_noexpand.py
t_math_repl.py
t_math_repl.v
t_math_repl2_bad.out
t_math_repl2_bad.py
t_math_repl2_bad.v
t_math_repl3_bad.out
t_math_repl3_bad.py
t_math_repl3_bad.v
t_math_repl_bad.out
t_math_repl_bad.py
t_math_repl_bad.v
t_math_reverse.py
t_math_reverse.v
t_math_shift.py
t_math_shift.v
t_math_shift_extend.py
t_math_shift_extend.v
t_math_shift_huge.py
t_math_shift_huge.v
t_math_shift_noexpand.py
t_math_shift_rep.py
t_math_shift_rep.v
t_math_shift_sel.py
t_math_shift_sel.v
t_math_shift_side.py
t_math_shift_side.v
t_math_shiftls.py
t_math_shiftls.v
t_math_shiftrs.py
t_math_shiftrs.v
t_math_shiftrs2.py
t_math_shiftrs2.v
t_math_shortcircuit_assocsel.py
t_math_shortcircuit_assocsel.v
t_math_shortcircuit_dynsel.py
t_math_shortcircuit_dynsel.v
t_math_shortreal.py
t_math_shortreal.v
t_math_shortreal_unsup_bad.out
t_math_shortreal_unsup_bad.py
t_math_shortreal_unsup_bad.v
t_math_sign_extend.py
t_math_sign_extend.v
t_math_signed.py
t_math_signed.v
t_math_signed2.py
t_math_signed2.v
t_math_signed3.py
t_math_signed3.v
t_math_signed3_noopt.py
t_math_signed4.py
t_math_signed4.v
t_math_signed5.py
t_math_signed5.v
t_math_signed5_timing.py
t_math_signed6.py
t_math_signed6.v
t_math_signed7.py
t_math_signed7.v
t_math_signed_calc.py
t_math_signed_calc.v
t_math_signed_noexpand.py
t_math_signed_wire.py
t_math_signed_wire.v
t_math_strwidth.py
t_math_strwidth.v
t_math_svl.py
t_math_svl.v
t_math_svl2.py
t_math_svl2.v
t_math_swap.py
t_math_swap.v
t_math_synmul.py
t_math_synmul.v
t_math_synmul_mul.v
t_math_tri.py
t_math_tri.v
t_math_trig.py
t_math_trig.v
t_math_vgen.py
t_math_vgen.v
t_math_vliw.py
t_math_vliw.v
t_math_vliw_noexpand.py
t_math_wallace.py
t_math_wallace.v
t_math_wallace_mul.v
t_math_wide_bad.out
t_math_wide_bad.py
t_math_wide_bad.v
t_math_wide_inc.py
t_math_wide_inc.v
t_math_width.py
t_math_width.v
t_math_yosys.py
t_math_yosys.v
t_mem.py
t_mem.v Fix large array initialization (#6669) 2025-11-10 09:19:08 -05:00
t_mem_banks.py
t_mem_banks.v
t_mem_big_bad.out Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_mem_big_bad.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_mem_big_bad.v Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_mem_bound_bad.py
t_mem_bound_bad.v
t_mem_cond.py
t_mem_cond.v
t_mem_fifo.py
t_mem_fifo.v
t_mem_file.py
t_mem_file.v
t_mem_first.py
t_mem_first.v
t_mem_func.py
t_mem_func.v
t_mem_iforder.py
t_mem_iforder.v
t_mem_multi_io.py
t_mem_multi_io.v
t_mem_multi_io2.cpp
t_mem_multi_io2.v
t_mem_multi_io2_cc.py
t_mem_multi_io2_sc.py
t_mem_multi_io3.cpp
t_mem_multi_io3.v
t_mem_multi_io3_cc.py
t_mem_multi_io3_sc.py
t_mem_multi_ref_bad.out
t_mem_multi_ref_bad.py
t_mem_multi_ref_bad.v
t_mem_multidim.py
t_mem_multidim.v
t_mem_multidim_Ox.py
t_mem_multidim_trace.py
t_mem_multiwire.py
t_mem_multiwire.v
t_mem_packed.py
t_mem_packed.v
t_mem_packed_assign.py
t_mem_packed_assign.v
t_mem_packed_bad.out
t_mem_packed_bad.py
t_mem_packed_bad.v
t_mem_packed_noexpand.py
t_mem_shift.py
t_mem_shift.v
t_mem_slice.py
t_mem_slice.v
t_mem_slice_bad.out
t_mem_slice_bad.py
t_mem_slice_bad.v
t_mem_slice_conc_bad.out
t_mem_slice_conc_bad.py
t_mem_slice_conc_bad.v
t_mem_slice_dtype_bad.out
t_mem_slice_dtype_bad.py
t_mem_slice_dtype_bad.v
t_mem_slot.cpp
t_mem_slot.py
t_mem_slot.v
t_mem_trace_split.py REVERT 612237b7: Optimize trace code for faster compiles on repeated types (#6707) (#6832 partial). 2025-12-17 17:37:35 -05:00
t_mem_trace_split.v
t_mem_twoedge.py
t_mem_twoedge.v
t_metacmt_fargs.py Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_metacmt_fargs.v Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_metacmt_fargs_bad.out Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_metacmt_fargs_bad.py Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_metacmt_fargs_bad.v Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_metacmt_onoff.out Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_metacmt_onoff.py
t_metacmt_onoff.v
t_mod_automatic.py
t_mod_automatic.v
t_mod_dollar$.py Tests: Favor --binary. No test change. 2025-12-16 08:14:25 -05:00
t_mod_dollar$.v
t_mod_dot.py Tests: Favor --binary. No test change. 2025-12-16 08:14:25 -05:00
t_mod_dot.v
t_mod_dup_bad.out
t_mod_dup_bad.py
t_mod_dup_bad.v
t_mod_dup_bad_lib.out
t_mod_dup_bad_lib.py
t_mod_dup_bad_lib.v
t_mod_dup_ign.py
t_mod_dup_ign.v
t_mod_empty.py
t_mod_empty.v
t_mod_interface_array0.py
t_mod_interface_array0.v
t_mod_interface_array0_noinl.py
t_mod_interface_array1.py
t_mod_interface_array1.v
t_mod_interface_array1_noinl.py
t_mod_interface_array2.py
t_mod_interface_array2.v
t_mod_interface_array2_noinl.py
t_mod_interface_array3.out
t_mod_interface_array3.py
t_mod_interface_array3.v
t_mod_interface_array4.py
t_mod_interface_array4.v
t_mod_interface_array4_noinl.py
t_mod_interface_array5.py
t_mod_interface_array5.v
t_mod_interface_array6.py
t_mod_interface_array6.v
t_mod_interface_array6_noinl.py
t_mod_interface_clocking.py
t_mod_interface_clocking.v
t_mod_interface_clocking_bad.out
t_mod_interface_clocking_bad.py
t_mod_interface_clocking_bad.v
t_mod_longname.py
t_mod_longname.v
t_mod_macromodule.py
t_mod_macromodule.v
t_mod_mod.out
t_mod_mod.py
t_mod_mod.v
t_mod_nomod.py
t_mod_nomod.v
t_mod_recurse.py
t_mod_recurse.v
t_mod_recurse1.py
t_mod_recurse1.v
t_mod_topmodule.py
t_mod_topmodule.v
t_mod_topmodule_nest.py
t_mod_topmodule_nest.v
t_mod_uselib.py
t_mod_uselib.v
t_module_class_static_method.py
t_module_class_static_method.v
t_module_input_default_value.py
t_module_input_default_value.v
t_module_input_default_value_1_bad.out
t_module_input_default_value_1_bad.py
t_module_input_default_value_1_bad.v
t_module_input_default_value_2_bad.out
t_module_input_default_value_2_bad.py
t_module_input_default_value_2_bad.v
t_module_input_default_value_3_bad.out
t_module_input_default_value_3_bad.py
t_module_input_default_value_3_bad.v
t_module_input_default_value_noinl.py
t_multitop1.py
t_multitop1.v
t_multitop1s.v
t_multitop_sig.cpp
t_multitop_sig.py
t_multitop_sig.v
t_multitop_sig_bad.out
t_multitop_sig_bad.py
t_multitop_xref.py Fix multitop cross references (#6699). 2025-11-26 06:09:29 -05:00
t_multitop_xref.v Fix multitop cross references (#6699). 2025-11-26 06:09:29 -05:00
t_name_collision.py
t_name_collision.v
t_nba_assign_on_rhs.py
t_nba_assign_on_rhs.v
t_nba_commit_queue.py
t_nba_commit_queue.v
t_nba_commit_queue_suspenable.py
t_nba_commit_queue_suspenable.v
t_nba_hier.py
t_nba_hier.v
t_nba_mixed_update_clocked.py
t_nba_mixed_update_clocked.v
t_nba_mixed_update_comb.py
t_nba_mixed_update_comb.v
t_nba_shared_flag_reuse.py
t_nba_shared_flag_reuse.v
t_nba_struct_array.py
t_nba_struct_array.v
t_net_delay.out
t_net_delay.py
t_net_delay.v
t_net_delay_timing.py
t_net_delay_timing_sc.py
t_net_dtype_bad.out
t_net_dtype_bad.py
t_net_dtype_bad.v
t_nettype.out
t_nettype.py
t_nettype.v
t_no_sel_assign_merge_in_cpp.py
t_no_sel_assign_merge_in_cpp.v
t_no_std_bad.out
t_no_std_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_no_std_bad.v
t_no_std_pkg_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_no_trace_top.cpp
t_no_trace_top.out
t_no_trace_top.py
t_notiming.out
t_notiming.py
t_notiming.v
t_notiming_off.out
t_notiming_off.py
t_opt_0.py
t_opt_0.v
t_opt_assemble_cellarray.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-27 19:16:53 -04:00
t_opt_assemble_cellarray.v Tests: Fix coverage holes from t_dist_docs_options 2025-10-27 19:16:53 -04:00
t_opt_assemble_cellarray_off.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-27 19:16:53 -04:00
t_opt_balance_cats.py Tests: Rename some optimization tests 2025-10-25 10:57:39 -04:00
t_opt_balance_cats.v Tests: Rename some optimization tests 2025-10-25 10:57:39 -04:00
t_opt_balance_cats_nofunc.py Tests: Rename some optimization tests 2025-10-25 10:57:39 -04:00
t_opt_balance_cats_sc.py Tests: Rename some optimization tests 2025-10-25 10:57:39 -04:00
t_opt_const.cpp
t_opt_const.py Fix O(n*2) analysis in const-bit-op-tree (#6791) 2025-12-11 14:32:25 +00:00
t_opt_const.v
t_opt_const_big_or_tree.py
t_opt_const_big_or_tree.v
t_opt_const_cond_redundant.py
t_opt_const_cond_redundant.v
t_opt_const_cov.py Fix O(n*2) analysis in const-bit-op-tree (#6791) 2025-12-11 14:32:25 +00:00
t_opt_const_cov.v
t_opt_const_dfg.py Fix O(n*2) analysis in const-bit-op-tree (#6791) 2025-12-11 14:32:25 +00:00
t_opt_const_no_expand.py Fix O(n*2) analysis in const-bit-op-tree (#6791) 2025-12-11 14:32:25 +00:00
t_opt_const_no_opt.py
t_opt_const_or.py
t_opt_const_or.v
t_opt_const_red.py Fix O(n*2) analysis in const-bit-op-tree (#6791) 2025-12-11 14:32:25 +00:00
t_opt_const_red.v
t_opt_const_shortcut.cpp
t_opt_const_shortcut.py
t_opt_const_shortcut.v
t_opt_dead.py
t_opt_dead.v
t_opt_dead_enumpkg.py
t_opt_dead_enumpkg.v
t_opt_dead_noassigns.py
t_opt_dead_noassigns.v
t_opt_dead_nocells.py
t_opt_dead_nocells.v
t_opt_dead_task.py
t_opt_dead_task.v
t_opt_dedupe_clk_gate.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_opt_dedupe_clk_gate.v Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_opt_dedupe_clk_gate_off.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_opt_dedupe_seq_logic.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_opt_dedupe_seq_logic.v Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_opt_expand_keep_widths.out
t_opt_expand_keep_widths.py
t_opt_expand_keep_widths.v
t_opt_if_array.py
t_opt_if_array.v
t_opt_ifjumpgo.py
t_opt_ifjumpgo.v
t_opt_inline_funcs.py Optimize functions reading external parameters as pure (#6684) 2025-11-12 08:27:42 -05:00
t_opt_inline_funcs.v Optimize functions reading external parameters as pure (#6684) 2025-11-12 08:27:42 -05:00
t_opt_inline_funcs_no.py Optimize functions reading external parameters as pure (#6684) 2025-11-12 08:27:42 -05:00
t_opt_inline_funcs_no_eager.py Optimize functions reading external parameters as pure (#6684) 2025-11-12 08:27:42 -05:00
t_opt_life.py Tests: Rename some optimization tests 2025-10-25 10:57:39 -04:00
t_opt_life.v Tests: Rename some optimization tests 2025-10-25 10:57:39 -04:00
t_opt_life_off.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_opt_life_timing_loop.py Fix V3Life eliminating assignments across timing controls (#6593) (#6596) 2025-10-25 21:59:21 +02:00
t_opt_life_timing_loop.v Fix V3Life eliminating assignments across timing controls (#6593) (#6596) 2025-10-25 21:59:21 +02:00
t_opt_localize_deep.py
t_opt_localize_deep.v
t_opt_localize_max_size.py Internals: Replace VlTriggerVec with unpacked array (#6616) 2025-10-31 18:29:11 +00:00
t_opt_localize_max_size.v Internals: Replace VlTriggerVec with unpacked array (#6616) 2025-10-31 18:29:11 +00:00
t_opt_localize_max_size_1.py Internals: Replace VlTriggerVec with unpacked array (#6616) 2025-10-31 18:29:11 +00:00
t_opt_merge_cond.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-27 19:16:53 -04:00
t_opt_merge_cond.v Tests: Fix coverage holes from t_dist_docs_options 2025-10-27 19:16:53 -04:00
t_opt_merge_cond_blowup.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-27 19:16:53 -04:00
t_opt_merge_cond_blowup.v Tests: Fix coverage holes from t_dist_docs_options 2025-10-27 19:16:53 -04:00
t_opt_merge_cond_bug_3409.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-27 19:16:53 -04:00
t_opt_merge_cond_bug_3409.v Tests: Fix coverage holes from t_dist_docs_options 2025-10-27 19:16:53 -04:00
t_opt_merge_cond_motion_branch.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-27 19:16:53 -04:00
t_opt_merge_cond_motion_branch.v Tests: Fix coverage holes from t_dist_docs_options 2025-10-27 19:16:53 -04:00
t_opt_merge_cond_no_extend.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-27 19:16:53 -04:00
t_opt_merge_cond_no_extend.v Tests: Fix coverage holes from t_dist_docs_options 2025-10-27 19:16:53 -04:00
t_opt_merge_cond_no_merge.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-27 19:16:53 -04:00
t_opt_merge_cond_no_motion.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-27 19:16:53 -04:00
t_opt_redor.py
t_opt_redor.v
t_opt_slice.py
t_opt_slice.v
t_opt_slice_element_limit.py Apply 'make format' 2025-11-05 10:50:31 +00:00
t_opt_slice_element_limit.v Fix slice memory overflow on large output arrays (#6636) (#6638) 2025-11-05 05:48:22 -05:00
t_opt_slice_element_limit_allow_all.py Apply 'make format' 2025-11-05 10:50:31 +00:00
t_opt_slice_element_limit_bad.out Fix slice memory overflow on large output arrays (#6636) (#6638) 2025-11-05 05:48:22 -05:00
t_opt_slice_element_limit_bad.py Apply 'make format' 2025-11-05 10:50:31 +00:00
t_opt_slice_element_limit_default.py Apply 'make format' 2025-11-05 10:50:31 +00:00
t_opt_slice_no.py
t_opt_subst.py Internals: Replace VlTriggerVec with unpacked array (#6616) 2025-10-31 18:29:11 +00:00
t_opt_subst.v Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_opt_subst_off.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-27 19:16:53 -04:00
t_opt_table_display.out
t_opt_table_display.py
t_opt_table_display.v
t_opt_table_enum.out
t_opt_table_enum.py
t_opt_table_enum.v
t_opt_table_fsm.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_opt_table_fsm.v Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_opt_table_packed_array.out
t_opt_table_packed_array.py
t_opt_table_packed_array.v
t_opt_table_real.out
t_opt_table_real.py
t_opt_table_real.v
t_opt_table_real_off.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_opt_table_same.out
t_opt_table_same.py
t_opt_table_same.v
t_opt_table_signed.out
t_opt_table_signed.py
t_opt_table_signed.v
t_opt_table_sparse.out
t_opt_table_sparse.py
t_opt_table_sparse.v
t_opt_table_sparse_output_split.py
t_opt_table_string.out
t_opt_table_string.py
t_opt_table_string.v
t_opt_table_struct.out
t_opt_table_struct.py
t_opt_table_struct.v
t_order.py
t_order.v
t_order_2d.py
t_order_2d.v
t_order_a.v
t_order_b.v
t_order_blkandnblk_bad.out
t_order_blkandnblk_bad.py
t_order_blkandnblk_bad.v
t_order_blkloopinit_bad.out
t_order_blkloopinit_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_order_blkloopinit_bad.v
t_order_clkinst.out
t_order_clkinst.py
t_order_clkinst.v
t_order_comboclkloop.py
t_order_comboclkloop.v
t_order_comboloop.py
t_order_comboloop.v
t_order_doubleloop.py
t_order_doubleloop.v
t_order_dpi_export_1.cpp
t_order_dpi_export_1.py
t_order_dpi_export_1.v
t_order_dpi_export_2.cpp
t_order_dpi_export_2.py
t_order_dpi_export_2.v
t_order_dpi_export_3.cpp
t_order_dpi_export_3.py
t_order_dpi_export_3.v
t_order_dpi_export_4.cpp
t_order_dpi_export_4.py
t_order_dpi_export_4.v
t_order_dpi_export_5.cpp
t_order_dpi_export_5.py
t_order_dpi_export_5.v
t_order_dpi_export_6.cpp
t_order_dpi_export_6.py
t_order_dpi_export_6.v
t_order_dpi_export_7.cpp
t_order_dpi_export_7.py
t_order_dpi_export_7.v
t_order_dpi_export_8.cpp
t_order_dpi_export_8.py
t_order_dpi_export_8.v
t_order_first.py
t_order_first.v
t_order_loop_bad.py
t_order_loop_bad.v
t_order_multialways.py
t_order_multialways.v
t_order_multidriven.cpp
t_order_multidriven.py
t_order_multidriven.v
t_order_quad.cpp
t_order_quad.py
t_order_quad.v
t_order_timing.py
t_order_wireloop.py
t_order_wireloop.v
t_package.py
t_package.v
t_package_abs.py
t_package_abs.v
t_package_alone_bad.out
t_package_alone_bad.py
t_package_alone_bad.v
t_package_ddecl.py
t_package_ddecl.v
t_package_ddecl_timing.py
t_package_dimport.py
t_package_dimport.v
t_package_dot.py
t_package_dot.v
t_package_dup_bad.out
t_package_dup_bad.py
t_package_dup_bad.v
t_package_dup_bad2.out
t_package_dup_bad2.py
t_package_dup_bad2.v
t_package_enum.py
t_package_enum.v
t_package_export.py
t_package_export.v
t_package_export_bad.out
t_package_export_bad.py
t_package_export_bad2.out
t_package_export_bad2.py
t_package_export_bad2.v
t_package_identifier_bad.out
t_package_identifier_bad.py
t_package_identifier_bad.v
t_package_import_bad2.out
t_package_import_bad2.py
t_package_import_bad2.v
t_package_import_override.py
t_package_import_override.v
t_package_import_param.py
t_package_import_param.v
t_package_local_bad.out
t_package_local_bad.py
t_package_local_bad.v
t_package_param.py
t_package_param.v
t_package_struct.out
t_package_struct.py
t_package_struct.v
t_package_twodeep.py
t_package_twodeep.v
t_package_using_dollar_unit.py
t_package_using_dollar_unit.v
t_package_verb.py
t_package_verb.v
t_packed_concat.v
t_packed_concat_bad.out
t_packed_concat_bad.py
t_packed_concat_bad.v
t_param.py
t_param.v
t_param_array.py
t_param_array.v
t_param_array2.py
t_param_array2.v
t_param_array3.py
t_param_array3.v
t_param_array4.py
t_param_array4.v
t_param_array5.py
t_param_array5.v
t_param_array6.py
t_param_array6.v
t_param_array6_noslice.py
t_param_array7.py
t_param_array7.v
t_param_array8.py
t_param_array8.v
t_param_array9.py Fix constant-arrayed instance parameters (#6614). 2025-10-30 19:18:47 -04:00
t_param_array9.v Fix constant-arrayed instance parameters (#6614). 2025-10-30 19:18:47 -04:00
t_param_avec.py
t_param_avec.v
t_param_bit_sel.py
t_param_bit_sel.v
t_param_bracket.py
t_param_bracket.v
t_param_ceil.py
t_param_ceil.v
t_param_chain.py
t_param_chain.v
t_param_circ_bad.out
t_param_circ_bad.py
t_param_circ_bad.v
t_param_concat.py
t_param_concat.v
t_param_concat_bad.out
t_param_concat_bad.py
t_param_const_part.py
t_param_const_part.v
t_param_ddeep_width.py
t_param_ddeep_width.v
t_param_default.py
t_param_default.v
t_param_default_2.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_param_default_2.v Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_param_default_bad.out
t_param_default_bad.py
t_param_default_bad.v
t_param_default_override.py
t_param_default_override.v
t_param_default_presv_bad.out
t_param_default_presv_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_param_first.py
t_param_first.v
t_param_first_a.v
t_param_first_b.v
t_param_func.py
t_param_func.v
t_param_func2.py
t_param_func2.v
t_param_hier_bad.out
t_param_hier_bad.py
t_param_hier_bad.v
t_param_if_blk.py
t_param_if_blk.v
t_param_implicit_bad.out
t_param_implicit_bad.py
t_param_implicit_bad.v
t_param_implicit_local_bad.out
t_param_implicit_local_bad.py
t_param_implicit_local_bad.v
t_param_implicit_string.py
t_param_implicit_string.v
t_param_in_func.py
t_param_in_func.v
t_param_in_func_noinline.py
t_param_local.py
t_param_local.v
t_param_long.py
t_param_long.v
t_param_mem_attr.py
t_param_mem_attr.v
t_param_mintypmax.py
t_param_mintypmax.v
t_param_module.py
t_param_module.v
t_param_named.py
t_param_named.v
t_param_named_2.py
t_param_named_2.v
t_param_no_parentheses.py
t_param_no_parentheses.v
t_param_noval_bad.out
t_param_noval_bad.py
t_param_noval_bad.v
t_param_package.py
t_param_package.v
t_param_passed_to_port.py
t_param_passed_to_port.v
t_param_pattern.py
t_param_pattern.v
t_param_pattern2.py
t_param_pattern2.v
t_param_pattern3.py Fix type deduction for variable parameterized classes (#6281) (#6813) 2025-12-17 08:08:44 -05:00
t_param_pattern3.v Fix type deduction for variable parameterized classes (#6281) (#6813) 2025-12-17 08:08:44 -05:00
t_param_pattern_init.py
t_param_pattern_init.v
t_param_pattern_init_scope.py
t_param_pattern_init_scope.v
t_param_public.cpp
t_param_public.py
t_param_public.v
t_param_real.py
t_param_real.v
t_param_real2.py
t_param_real2.v
t_param_real2_collision.py
t_param_repl.py
t_param_repl.v
t_param_resolve_args.py
t_param_resolve_args.v
t_param_scope_bad.out
t_param_scope_bad.py
t_param_scope_bad.v
t_param_seg.py
t_param_seg.v
t_param_sel.py
t_param_sel.v
t_param_sel_range.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_param_sel_range.v
t_param_sel_range_bad.out
t_param_sel_range_bad.py
t_param_shift.py
t_param_shift.v
t_param_slice.py
t_param_slice.v
t_param_store_bad.out
t_param_store_bad.py
t_param_store_bad.v
t_param_type.py
t_param_type.v
t_param_type2.py
t_param_type2.v
t_param_type3.py
t_param_type3.v
t_param_type4.py
t_param_type4.v
t_param_type4_collision.py
t_param_type5.py
t_param_type5.v Fix nested struct within parameter port list (#6818) (#6824) 2025-12-16 18:08:49 -05:00
t_param_type6.py
t_param_type6.v
t_param_type_bad.out Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_param_type_bad.py
t_param_type_bad.v
t_param_type_bad2.out
t_param_type_bad2.py
t_param_type_bad2.v
t_param_type_bad3.out Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_param_type_bad3.py
t_param_type_bad3.v
t_param_type_bit.py
t_param_type_bit.v
t_param_type_cmp.py
t_param_type_cmp.v
t_param_type_collision.py
t_param_type_fwd.py
t_param_type_fwd.v
t_param_type_fwd_bad.out
t_param_type_fwd_bad.py
t_param_type_fwd_bad.v
t_param_type_id_bad.out Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_param_type_id_bad.py
t_param_type_id_bad.v
t_param_typedef.py
t_param_typedef.v
t_param_typedef2.py
t_param_typedef2.v
t_param_unreachable.py
t_param_unreachable.v
t_param_up_bad.out
t_param_up_bad.py
t_param_up_bad.v
t_param_value.py
t_param_value.v
t_param_while.py
t_param_while.v
t_param_wide_io.py
t_param_wide_io.v
t_param_width.py
t_param_width.v
t_param_width_loc_bad.out
t_param_width_loc_bad.py
t_param_width_loc_bad.v
t_param_x_unique.py
t_param_x_unique.v
t_parse_delay.py
t_parse_delay.v
t_parse_delay_timing.py
t_parse_eof_attr_bad.out
t_parse_eof_attr_bad.py
t_parse_eof_attr_bad.v
t_parse_eof_qqq_bad.out
t_parse_eof_qqq_bad.py
t_parse_eof_qqq_bad.v
t_parse_eof_str_bad.out
t_parse_eof_str_bad.py
t_parse_eof_str_bad.v
t_parse_sync_bad.out Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_parse_sync_bad.py
t_parse_sync_bad.v
t_parse_sync_bad2.out
t_parse_sync_bad2.py
t_parse_sync_bad2.v
t_past.py Optimize $past delayed variable reuse (#6689) 2025-11-13 17:04:50 +00:00
t_past.v Optimize $past delayed variable reuse (#6689) 2025-11-13 17:04:50 +00:00
t_past_bad.out
t_past_bad.py
t_past_bad.v
t_past_funcs.py
t_past_funcs.v
t_past_strobe.out
t_past_strobe.py
t_past_strobe.v
t_past_unsup.out
t_past_unsup.py
t_past_unsup.v
t_pgo_profoutofdate_bad.out
t_pgo_profoutofdate_bad.py
t_pgo_profoutofdate_bad.v
t_pgo_threads.py
t_pgo_threads_hier.py Tests: Remove old benchmarksim, should use rtlmeter instead 2025-12-16 21:17:27 -05:00
t_pgo_threads_hier.vlt
t_pipe_exit_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_pipe_exit_bad_pf.pf Internals: Add more python strict typing. No functional change intended 2025-11-01 14:14:56 -04:00
t_pipe_filter.out
t_pipe_filter.py
t_pipe_filter.v
t_pipe_filter_inc.vh
t_pipe_filter_pf.pf Internals: Add more python strict typing. No functional change intended 2025-11-01 14:14:56 -04:00
t_pli_bad.out
t_pli_bad.py
t_pli_bad.v
t_pli_bbox.py
t_pp_circ_subst_bad.out
t_pp_circ_subst_bad.py
t_pp_circ_subst_bad.v
t_pp_circ_subst_bad2.out
t_pp_circ_subst_bad2.py
t_pp_circdef_bad.py
t_pp_circdef_bad.v
t_pp_defkwd_bad.out
t_pp_defkwd_bad.py
t_pp_defkwd_bad.v
t_pp_defnettype_bad.out
t_pp_defnettype_bad.py
t_pp_defnettype_bad.v
t_pp_defparen_bad.out
t_pp_defparen_bad.py
t_pp_defparen_bad.v
t_pp_display.out
t_pp_display.py
t_pp_display.v
t_pp_dupdef.py
t_pp_dupdef.v
t_pp_dupdef_bad.out
t_pp_dupdef_bad.py
t_pp_dupdef_pragma_bad.out
t_pp_dupdef_pragma_bad.py
t_pp_dupdef_pragma_bad.v
t_pp_lib.py
t_pp_lib.v
t_pp_lib_inc.vh
t_pp_lib_library.v
t_pp_line.out
t_pp_line.py
t_pp_line.v
t_pp_line_bad.out
t_pp_line_bad.py
t_pp_line_bad.v
t_pp_misdef_bad.out
t_pp_misdef_bad.py
t_pp_misdef_bad.v
t_pp_pragma_bad.out
t_pp_pragma_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_pp_pragma_bad.v
t_pp_pragmas.py
t_pp_pragmas.v
t_pp_recursedef_bad.out
t_pp_recursedef_bad.py
t_pp_recursedef_bad.v
t_pp_resetall_bad.out
t_pp_resetall_bad.py
t_pp_resetall_bad.v
t_pp_underline_bad.out Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_pp_underline_bad.py
t_pp_underline_bad.v
t_premit_rw.py
t_premit_rw.v
t_preproc.out Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_preproc.py
t_preproc.v Add `--preproc-defines`. 2025-11-01 23:27:43 -04:00
t_preproc_cmtend_bad.out
t_preproc_cmtend_bad.py
t_preproc_cmtend_bad.v
t_preproc_comments.out Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_preproc_comments.py Change `--preproc-comments` to be new name of `--pp-comments` option. 2025-11-01 21:59:16 -04:00
t_preproc_debugi.py
t_preproc_debugi.v
t_preproc_def09.out
t_preproc_def09.py
t_preproc_def09.v
t_preproc_defarg_bad.out
t_preproc_defarg_bad.py
t_preproc_defarg_bad.v
t_preproc_defines.out Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_preproc_defines.py Add `--preproc-defines`. 2025-11-01 23:27:43 -04:00
t_preproc_dos.py
t_preproc_dump_defines.out Add `--preproc-defines`. 2025-11-01 23:27:43 -04:00
t_preproc_dump_defines.py Tests: Reanme dump test 2025-11-01 22:05:52 -04:00
t_preproc_elsif_bad.out
t_preproc_elsif_bad.py
t_preproc_elsif_bad.v
t_preproc_eof1_bad.out
t_preproc_eof1_bad.py
t_preproc_eof1_bad.v
t_preproc_eof2_bad.out
t_preproc_eof2_bad.py
t_preproc_eof2_bad.v
t_preproc_eof3_bad.out
t_preproc_eof3_bad.py
t_preproc_eof3_bad.v
t_preproc_eof4_bad.out
t_preproc_eof4_bad.py
t_preproc_eof4_bad.v
t_preproc_eof_qqq_bad.out
t_preproc_eof_qqq_bad.py
t_preproc_eof_qqq_bad.v
t_preproc_ifdef.py
t_preproc_ifdef.v
t_preproc_ifdefend_bad.out
t_preproc_ifdefend_bad.py
t_preproc_ifdefend_bad.v
t_preproc_ifexpr.out
t_preproc_ifexpr.py
t_preproc_ifexpr.v
t_preproc_ifexpr_bad.out
t_preproc_ifexpr_bad.py
t_preproc_ifexpr_bad.v
t_preproc_inc2.vh
t_preproc_inc3.vh
t_preproc_inc4.vh
t_preproc_inc_bad.out
t_preproc_inc_bad.py
t_preproc_inc_bad.v
t_preproc_inc_fn_bad.out
t_preproc_inc_fn_bad.py
t_preproc_inc_fn_bad.v
t_preproc_inc_inc_bad.vh
t_preproc_inc_notfound_bad.out
t_preproc_inc_notfound_bad.py
t_preproc_inc_notfound_bad.v
t_preproc_inc_recurse_bad.out
t_preproc_inc_recurse_bad.py
t_preproc_inc_recurse_bad.v
t_preproc_kwd.py
t_preproc_kwd.v
t_preproc_kwd_bad.out
t_preproc_kwd_bad.py
t_preproc_kwd_bad.v
t_preproc_nodef_bad.out
t_preproc_nodef_bad.py
t_preproc_nodef_bad.v
t_preproc_noline.out
t_preproc_noline.py
t_preproc_noline.v
t_preproc_persist.out
t_preproc_persist.py
t_preproc_persist.v
t_preproc_persist2.v
t_preproc_persist_inc.v
t_preproc_preproczero_bad.out
t_preproc_preproczero_bad.py
t_preproc_preproczero_bad.v
t_preproc_resolve.out
t_preproc_resolve.py
t_preproc_resolve.v
t_preproc_resolve_config.vlt
t_preproc_str_undef.out
t_preproc_str_undef.py
t_preproc_str_undef.v
t_preproc_strify_join.out
t_preproc_strify_join.py
t_preproc_strify_join.v
t_preproc_stringend_bad.out
t_preproc_stringend_bad.py
t_preproc_stringend_bad.v
t_preproc_ttempty.out
t_preproc_ttempty.py
t_preproc_ttempty.v
t_preproc_undefineall.py
t_preproc_undefineall.v
t_priority_case.out
t_priority_case.py
t_priority_case.v
t_probdist.py
t_probdist.v
t_probdist_bad.py
t_probdist_bad.v
t_probdist_cmake.py
t_process.out
t_process.py
t_process.v
t_process_always.py Fix process reference giving no return statement error (#6767) (#6823) 2025-12-15 18:09:45 -05:00
t_process_always.v Fix process reference giving no return statement error (#6767) (#6823) 2025-12-15 18:09:45 -05:00
t_process_bad.out
t_process_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_process_bad.v
t_process_compare.py
t_process_compare.v
t_process_copy_constr.py
t_process_copy_constr.v
t_process_finished.py
t_process_finished.v
t_process_fork.out
t_process_fork.py
t_process_fork.v Fix fork scheduling semantics (#6730) 2025-11-26 15:52:53 +03:00
t_process_fork_block.out Fix fork scheduling semantics (#6730) 2025-11-26 15:52:53 +03:00
t_process_fork_block.py Fix fork scheduling semantics (#6730) 2025-11-26 15:52:53 +03:00
t_process_fork_block.v Fix fork scheduling semantics (#6730) 2025-11-26 15:52:53 +03:00
t_process_kill.py
t_process_kill.v
t_process_notiming.out
t_process_notiming.py
t_process_propagation.py
t_process_propagation.v Fix fork scheduling semantics (#6730) 2025-11-26 15:52:53 +03:00
t_process_rand.py
t_process_rand.v
t_process_redecl.py
t_process_redecl.v
t_process_std.out
t_process_std.py
t_process_task.py
t_process_task.v
t_prof.py
t_prof.v
t_prof_timing.py
t_profc.py
t_profcfunc.gprof
t_profcfunc.out
t_profcfunc.py
t_program.py
t_program.v
t_program_anonymous.out
t_program_anonymous.py
t_program_anonymous.v
t_program_extern.out
t_program_extern.py
t_program_extern.v
t_property.py
t_property.v
t_property_fail_1.py
t_property_fail_2_bad.py
t_property_named.py
t_property_named.v
t_property_negated.py
t_property_negated.v
t_property_pexpr.py
t_property_pexpr.v
t_property_pexpr_unsup.out
t_property_pexpr_unsup.py
t_property_pexpr_unsup.v
t_property_recursive_unsup.out
t_property_recursive_unsup.py
t_property_recursive_unsup.v
t_property_sexpr.out Support multi-expression sequences (#6639) 2025-11-06 08:42:27 -05:00
t_property_sexpr.py
t_property_sexpr.v Support multi-expression sequences (#6639) 2025-11-06 08:42:27 -05:00
t_property_sexpr2_bad.out Support constexpr cycle delay in sequences (#6691) 2025-11-14 13:48:46 +01:00
t_property_sexpr2_bad.py Support constexpr cycle delay in sequences (#6691) 2025-11-14 13:48:46 +01:00
t_property_sexpr2_bad.v Support constexpr cycle delay in sequences (#6691) 2025-11-14 13:48:46 +01:00
t_property_sexpr_bad.out Support multi-expression sequences (#6639) 2025-11-06 08:42:27 -05:00
t_property_sexpr_bad.py
t_property_sexpr_bad.v Support multi-expression sequences (#6639) 2025-11-06 08:42:27 -05:00
t_property_sexpr_cov.dat.out Support multi-expression sequences (#6639) 2025-11-06 08:42:27 -05:00
t_property_sexpr_cov.out Support multi-expression sequences (#6639) 2025-11-06 08:42:27 -05:00
t_property_sexpr_cov.py Support multi-expression sequences (#6639) 2025-11-06 08:42:27 -05:00
t_property_sexpr_cov.v Support multi-expression sequences (#6639) 2025-11-06 08:42:27 -05:00
t_property_sexpr_multi.py Support multi-expression sequences (#6639) 2025-11-06 08:42:27 -05:00
t_property_sexpr_multi.v Support constexpr cycle delay in sequences (#6691) 2025-11-14 13:48:46 +01:00
t_property_sexpr_parse_unsup.out Support multi-expression sequences (#6639) 2025-11-06 08:42:27 -05:00
t_property_sexpr_parse_unsup.py
t_property_sexpr_unsup.out Support multi-expression sequences (#6639) 2025-11-06 08:42:27 -05:00
t_property_sexpr_unsup.py
t_property_sexpr_unsup.v Support multi-expression sequences (#6639) 2025-11-06 08:42:27 -05:00
t_property_unsup.out
t_property_unsup.py
t_property_unsup.v
t_property_untyped.py
t_property_untyped.v
t_property_untyped_unsup.out
t_property_untyped_unsup.py
t_property_untyped_unsup.v
t_property_var_unsup.out
t_property_var_unsup.py
t_property_var_unsup.v
t_protect_ids.py
t_protect_ids.v
t_protect_ids_bad.out
t_protect_ids_bad.py
t_protect_ids_bad.v
t_protect_ids_c.cpp
t_protect_ids_debug.py
t_protect_ids_key.out
t_protect_ids_key.py
t_public_clk.cpp
t_public_clk.py
t_public_clk.v
t_public_seq.cpp
t_public_seq.py
t_public_seq.v
t_public_unpacked_port.py
t_public_unpacked_port.v
t_queue.py
t_queue.v
t_queue_arg.py
t_queue_arg.v
t_queue_assignment.py
t_queue_assignment.v
t_queue_back.py
t_queue_back.v
t_queue_bounded.py
t_queue_bounded.v
t_queue_class.py
t_queue_class.v
t_queue_compare.py
t_queue_compare.v
t_queue_concat_assign.py
t_queue_concat_assign.v
t_queue_empty_bad.out
t_queue_empty_bad.py
t_queue_empty_bad.v
t_queue_empty_pin.py
t_queue_empty_pin.v
t_queue_init.py
t_queue_init.v
t_queue_insert_at_end.py
t_queue_insert_at_end.v
t_queue_method.py
t_queue_method.v
t_queue_method2_bad.out
t_queue_method2_bad.py
t_queue_method2_bad.v
t_queue_method3_bad.out
t_queue_method3_bad.py
t_queue_method3_bad.v
t_queue_method_bad.out
t_queue_method_bad.py
t_queue_method_bad.v
t_queue_output_func.py
t_queue_output_func.v
t_queue_persistence.v
t_queue_persistence_inl.py
t_queue_persistence_noinl.py
t_queue_pushpop.py
t_queue_pushpop.v
t_queue_slice.py
t_queue_slice.v
t_queue_struct.py
t_queue_struct.v
t_queue_unknown_sel.py
t_queue_unknown_sel.v
t_queue_unpacked.py
t_queue_unpacked.v
t_queue_var_slice.py
t_queue_var_slice.v
t_queue_void_ops.py
t_queue_void_ops.v
t_rand_member_mode_deriv.py Fix of deleting linked node in V3Randomize (#6718) 2025-11-21 07:07:50 -05:00
t_rand_member_mode_deriv.v Fix of deleting linked node in V3Randomize (#6718) 2025-11-21 07:07:50 -05:00
t_rand_stability_process.py
t_rand_stability_process.v
t_randc.py
t_randc.v
t_randc_oversize_bad.out
t_randc_oversize_bad.py
t_randc_oversize_bad.v
t_randcase.py
t_randcase.v Tests: Misc cleanups 2025-11-23 17:14:44 -05:00
t_randcase_bad.out
t_randcase_bad.py
t_randcase_bad.v
t_randomize.out
t_randomize.py
t_randomize.v
t_randomize_array.py
t_randomize_array.v
t_randomize_bbox.py
t_randomize_complex.py
t_randomize_complex.v
t_randomize_complex_arrays.py
t_randomize_complex_arrays.v
t_randomize_complex_associative_arrays.py
t_randomize_complex_associative_arrays.v
t_randomize_complex_dynamic_arrays.py
t_randomize_complex_dynamic_arrays.v
t_randomize_complex_member_bad.out
t_randomize_complex_member_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_randomize_complex_member_bad.v
t_randomize_complex_queue.py
t_randomize_complex_queue.v
t_randomize_complex_typedef.py
t_randomize_complex_typedef.v
t_randomize_from_randomized_class.py
t_randomize_from_randomized_class.v
t_randomize_inline_funclocal.py
t_randomize_inline_funclocal.v
t_randomize_inline_var_ctl.py
t_randomize_inline_var_ctl.v
t_randomize_inline_var_ctl_bad.out
t_randomize_inline_var_ctl_bad.py
t_randomize_inline_var_ctl_bad.v
t_randomize_inline_var_ctl_unsup_1.out
t_randomize_inline_var_ctl_unsup_1.py
t_randomize_inline_var_ctl_unsup_1.v
t_randomize_inline_var_ctl_unsup_2.out
t_randomize_inline_var_ctl_unsup_2.py
t_randomize_inline_var_ctl_unsup_2.v
t_randomize_local_param.py
t_randomize_local_param.v
t_randomize_member_select.py
t_randomize_member_select.v
t_randomize_method.py
t_randomize_method.v
t_randomize_method_bad.out
t_randomize_method_bad.py
t_randomize_method_bad.v
t_randomize_method_complex_bad.out
t_randomize_method_complex_bad.py
t_randomize_method_complex_bad.v
t_randomize_method_constraints.py
t_randomize_method_constraints.v
t_randomize_method_nclass_bad.out
t_randomize_method_nclass_bad.py
t_randomize_method_nclass_bad.v
t_randomize_method_param.py Fix randomize call in parameterized class 2025-12-08 20:26:53 -05:00
t_randomize_method_param.v Fix randomize call in parameterized class 2025-12-08 20:26:53 -05:00
t_randomize_method_std.py
t_randomize_method_std.v
t_randomize_method_types_unsup.out Support basic global constraints (#6551) (#6552) 2025-11-05 07:14:03 -05:00
t_randomize_method_types_unsup.py
t_randomize_method_types_unsup.v
t_randomize_method_with.py
t_randomize_method_with.v
t_randomize_method_with_bad.out
t_randomize_method_with_bad.py
t_randomize_method_with_bad.v
t_randomize_method_with_scoping.py
t_randomize_method_with_scoping.v
t_randomize_nested_unsup.out Spelling fixes 2025-11-08 16:09:45 -05:00
t_randomize_nested_unsup.py Spelling fixes 2025-11-08 16:09:45 -05:00
t_randomize_nested_unsup.v Spelling fixes 2025-11-08 16:09:45 -05:00
t_randomize_param_with.py
t_randomize_param_with.v
t_randomize_prepost.py
t_randomize_prepost.v Fix pre/post_randomize on extended classes (#6467). 2025-12-07 14:14:48 -05:00
t_randomize_prepost_alone.py
t_randomize_prepost_alone.v
t_randomize_prepost_super.py Fix pre/post_randomize on extended classes (#6467). 2025-12-07 14:14:48 -05:00
t_randomize_prepost_super.v Fix pre/post_randomize on extended classes (#6467). 2025-12-07 14:14:48 -05:00
t_randomize_queue_constraints.py
t_randomize_queue_constraints.v
t_randomize_queue_size.py
t_randomize_queue_size.v
t_randomize_queue_wide.py
t_randomize_queue_wide.v
t_randomize_rand_mode.py
t_randomize_rand_mode.v
t_randomize_rand_mode_bad.out
t_randomize_rand_mode_bad.py
t_randomize_rand_mode_bad.v
t_randomize_rand_mode_constr.py
t_randomize_rand_mode_constr.v
t_randomize_rand_mode_unsup.out
t_randomize_rand_mode_unsup.py
t_randomize_rand_mode_unsup.v
t_randomize_srandom.py
t_randomize_srandom.v
t_randomize_this.py
t_randomize_this.v
t_randomize_this_with.py Support this.randomize() with constraints (#6634) 2025-11-04 08:28:42 -05:00
t_randomize_this_with.v Support this.randomize() with constraints (#6634) 2025-11-04 08:28:42 -05:00
t_randomize_union.py
t_randomize_union.v
t_randomize_union_bad.out
t_randomize_union_bad.py
t_randomize_union_bad.v
t_randomize_unpacked_bad.out
t_randomize_unpacked_bad.py
t_randomize_unpacked_bad.v
t_randomize_unpacked_wide.py
t_randomize_unpacked_wide.v
t_randomize_with_constraint.out
t_randomize_with_constraint.py
t_randomize_with_constraint.v
t_randomize_within_func.py Fix randomize called within func/task (#6144) (#6753) 2025-12-04 07:18:07 -05:00
t_randomize_within_func.v Fix randomize called within func/task (#6144) (#6753) 2025-12-04 07:18:07 -05:00
t_randsequence.py Support randsequence (#6131) 2025-11-30 09:04:42 -05:00
t_randsequence.v Support randsequence (#6131) 2025-11-30 09:04:42 -05:00
t_randsequence_bad.out Support randsequence (#6131) 2025-11-30 09:04:42 -05:00
t_randsequence_bad.py Tests: Misc cleanups 2025-11-23 17:14:44 -05:00
t_randsequence_bad.v Tests: Misc cleanups 2025-11-23 17:14:44 -05:00
t_randsequence_func.out Support randsequence (#6131) 2025-11-30 09:04:42 -05:00
t_randsequence_func.py Support randsequence (#6131) 2025-11-30 09:04:42 -05:00
t_randsequence_func.v Support randsequence (#6131) 2025-11-30 09:04:42 -05:00
t_randsequence_randjoin.py Support randsequence (#6131) 2025-11-30 09:04:42 -05:00
t_randsequence_randjoin.v Support randsequence (#6131) 2025-11-30 09:04:42 -05:00
t_randsequence_recurse.out Tests: Misc cleanups 2025-11-23 17:14:44 -05:00
t_randsequence_recurse.py Support randsequence (#6131) 2025-11-30 09:04:42 -05:00
t_randsequence_recurse.v Support randsequence (#6131) 2025-11-30 09:04:42 -05:00
t_randsequence_rs_bad.out Support randsequence (#6131) 2025-11-30 09:04:42 -05:00
t_randsequence_rs_bad.py Tests: Misc cleanups 2025-11-23 17:14:44 -05:00
t_randsequence_rs_bad.v Tests: Misc cleanups 2025-11-23 17:14:44 -05:00
t_randsequence_svtests.py Support randsequence (#6131) 2025-11-30 09:04:42 -05:00
t_randsequence_svtests.v Fix `randsequence return` inside function 2025-12-02 17:54:40 -05:00
t_randstate_func.py
t_randstate_func.v
t_randstate_obj.py
t_randstate_obj.v
t_randstate_seed_bad.out
t_randstate_seed_bad.py
t_randstate_seed_bad.v
t_real_cast.py
t_real_cast.v
t_real_out_of_bounds.py
t_real_out_of_bounds.v
t_real_param.py
t_real_param.v
t_recursive_method.py
t_recursive_method.v
t_recursive_module_bug.py
t_recursive_module_bug.v
t_recursive_module_bug_2.py
t_recursive_module_bug_2.v
t_reloop_cam.py
t_reloop_cam.v
t_reloop_cam_off.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_reloop_local.py
t_reloop_local.v
t_reloop_offset.out
t_reloop_offset.py
t_reloop_offset.v
t_reloop_offset_lim_63.py
t_repeat.py
t_repeat.v
t_resize_lvalue.py Fix error on resized lvalues with warning suppressed (#6497) (#6816) 2025-12-15 06:49:32 -05:00
t_resize_lvalue.v Fix error on resized lvalues with warning suppressed (#6497) (#6816) 2025-12-15 06:49:32 -05:00
t_rnd.py
t_rnd.v
t_runflag.py
t_runflag.v
t_runflag_bad.py
t_runflag_bad.v
t_runflag_bad__a.out
t_runflag_bad__b.out
t_runflag_bad__c.out
t_runflag_bad__d.out
t_runflag_bad__e.out
t_runflag_errorlimit_bad.out
t_runflag_errorlimit_bad.py
t_runflag_errorlimit_bad.v
t_runflag_errorlimit_fatal_bad.out
t_runflag_errorlimit_fatal_bad.py
t_runflag_errorlimit_fatal_bad.v
t_runflag_quiet.py
t_runflag_quiet.v
t_runflag_seed.py
t_runflag_seed.v
t_runflag_uninit_bad.cpp
t_runflag_uninit_bad.out
t_runflag_uninit_bad.py
t_runflag_uninit_bad.v
t_sampled_expr.py
t_sampled_expr.v
t_sampled_expr_unsup.out
t_sampled_expr_unsup.py
t_sampled_expr_unsup.v
t_sarif.out
t_sarif.py
t_sarif.sarif.out Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_sarif.v
t_sarif_output.py
t_savable.py
t_savable.v
t_savable_class_bad.out
t_savable_class_bad.py
t_savable_class_bad.v
t_savable_coverage_bad.out
t_savable_coverage_bad.py
t_savable_coverage_bad.v
t_savable_format1_bad.out
t_savable_format1_bad.py
t_savable_format2_bad.out
t_savable_format2_bad.py
t_savable_format3_bad.out
t_savable_format3_bad.py
t_savable_open_bad.out
t_savable_open_bad.py
t_savable_open_bad2.cpp
t_savable_open_bad2.out
t_savable_open_bad2.py
t_savable_open_bad2.v
t_savable_timing_bad.out
t_savable_timing_bad.py
t_sc_names.cpp
t_sc_names.py
t_sc_names.v
t_sc_vl_assign_sbw.cpp
t_sc_vl_assign_sbw.py
t_sc_vl_assign_sbw.v
t_scheduling_0.py
t_scheduling_0.v
t_scheduling_1.py
t_scheduling_1.v
t_scheduling_2.py
t_scheduling_2.v
t_scheduling_3.py
t_scheduling_3.v
t_scheduling_4.py
t_scheduling_4.v
t_scheduling_5.py
t_scheduling_5.v
t_scheduling_6.v
t_scheduling_initial_event.py
t_scheduling_initial_event.v
t_scheduling_many_clocks.py Internals: Make all scheduling region use a single trigger vector. (#6620) 2025-11-01 15:43:20 +00:00
t_scheduling_many_clocks.v Internals: Make all scheduling region use a single trigger vector. (#6620) 2025-11-01 15:43:20 +00:00
t_scope_cxx_equal_to.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_scope_cxx_equal_to.v Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_scope_map.cpp
t_scope_map.py
t_scope_map.v
t_sdf_annotate_unsup.out
t_sdf_annotate_unsup.py
t_sdf_annotate_unsup.v
t_select_2d.py
t_select_2d.v
t_select_ascending.py
t_select_ascending.v
t_select_bad_msb.out
t_select_bad_msb.py
t_select_bad_msb.v
t_select_bad_range.out
t_select_bad_range.py
t_select_bad_range.v
t_select_bad_range2.out
t_select_bad_range2.py
t_select_bad_range2.v
t_select_bad_range3.out
t_select_bad_range3.py
t_select_bad_range3.v
t_select_bad_range4.out
t_select_bad_range4.py
t_select_bad_range4.v
t_select_bad_range5.out
t_select_bad_range5.py
t_select_bad_range5.v
t_select_bad_range6.out
t_select_bad_range6.py
t_select_bad_range6.v
t_select_bad_tri.out
t_select_bad_tri.py
t_select_bad_tri.v
t_select_bad_width0.out
t_select_bad_width0.py
t_select_bad_width0.v
t_select_bound1.py
t_select_bound1.v
t_select_bound2.py
t_select_bound2.v
t_select_bound3.py
t_select_bound3.v
t_select_c.py
t_select_c.v
t_select_crazy.py
t_select_crazy.v
t_select_index.py
t_select_index.v
t_select_index2.py
t_select_index2.v
t_select_lhs_oob.py
t_select_lhs_oob.v
t_select_lhs_oob2.py
t_select_lhs_oob2.v
t_select_little.py
t_select_little.v
t_select_little_pack.py
t_select_little_pack.v
t_select_loop.py
t_select_loop.v
t_select_mul_extend.py
t_select_mul_extend.v
t_select_negative.py
t_select_negative.v
t_select_out_of_range.py
t_select_out_of_range.v
t_select_param.py
t_select_param.v
t_select_plus.py
t_select_plus.v
t_select_plus_mul_pow2.py
t_select_plus_mul_pow2.v
t_select_plusloop.py
t_select_plusloop.v
t_select_runtime_range.py
t_select_runtime_range.v
t_select_set.py
t_select_set.v
t_select_sideeffect.py
t_select_sideeffect.v
t_select_width.py
t_select_width.v
t_selextract_in_paramextends.py
t_selextract_in_paramextends.v
t_semaphore.py
t_semaphore.v
t_semaphore_always.py
t_semaphore_always.v
t_semaphore_bad.out
t_semaphore_bad.py
t_semaphore_bad.v
t_semaphore_class.py
t_semaphore_class.v
t_semaphore_class_nested.py
t_semaphore_class_nested.v
t_semaphore_concurrent.out
t_semaphore_concurrent.py
t_semaphore_concurrent.v
t_semaphore_std.py
t_sequence_first_match_unsup.out
t_sequence_first_match_unsup.py
t_sequence_first_match_unsup.v
t_sequence_ref_unsup.out Suppress unsupported for unused constant sequences 2025-12-03 21:23:48 -05:00
t_sequence_ref_unsup.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_sequence_ref_unsup.v Suppress unsupported for unused constant sequences 2025-12-03 21:23:48 -05:00
t_sequence_sexpr_unsup.out Suppress unsupported for unused constant sequences 2025-12-03 21:23:48 -05:00
t_sequence_sexpr_unsup.py
t_sequence_sexpr_unsup.v Support multi-expression sequences (#6639) 2025-11-06 08:42:27 -05:00
t_sequence_unused.py Suppress unsupported for unused constant sequences 2025-12-03 21:23:48 -05:00
t_sequence_unused.v Suppress unsupported for unused constant sequences 2025-12-03 21:23:48 -05:00
t_setuphold.py
t_setuphold.v
t_simulate_array.py
t_simulate_array.v
t_slice_cmp.py
t_slice_cmp.v
t_slice_cond.py
t_slice_cond.v
t_slice_cond_2d_side_effect.py
t_slice_cond_2d_side_effect.v
t_slice_cond_side_effect.py
t_slice_cond_side_effect.v
t_slice_init.py
t_slice_init.v
t_slice_struct_array_modport.py
t_slice_struct_array_modport.v
t_specparam.py
t_specparam.v
t_split_var_0.py
t_split_var_0.v
t_split_var_0.vlt
t_split_var_1_bad.out Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_split_var_1_bad.py
t_split_var_1_bad.v
t_split_var_2_trace.out
t_split_var_2_trace.py
t_split_var_3_wreal.py
t_split_var_3_wreal.v
t_split_var_4.py
t_split_var_4.v
t_split_var_5.py
t_split_var_auto.py
t_split_var_auto.v
t_split_var_issue.py Don't pick initial random values for verilator-created variables (#6611) 2025-11-04 16:11:53 -05:00
t_split_var_issue.v Don't pick initial random values for verilator-created variables (#6611) 2025-11-04 16:11:53 -05:00
t_split_var_types.py
t_split_var_types.v
t_split_var_xref.py
t_split_var_xref.v
t_srandom_class_dep.py
t_srandom_class_dep.v
t_stack_check.py
t_stack_check.v
t_stack_check_fail.py
t_stacktrace.py
t_stacktrace.v
t_static_dup_name.py
t_static_dup_name.v
t_static_elab.py
t_static_elab.v
t_static_function_in_class.py
t_static_function_in_class.v
t_static_function_in_class_call_without_parentheses.py
t_static_function_in_class_call_without_parentheses.v
t_static_in_loop_unsup.out
t_static_in_loop_unsup.py
t_static_in_loop_unsup.v
t_std_identifier.py
t_std_identifier.v
t_std_identifier_bad.out
t_std_identifier_bad.py
t_std_pkg_bad.out
t_std_pkg_bad.py
t_std_pkg_bad.v
t_std_process_self.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_std_process_self.v
t_std_process_self_std.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_std_randomize.py Support array reference arguments into 'std::randomize()' (#6384) (#6719) 2025-11-26 15:50:24 +03:00
t_std_randomize.v Support complex std::randomize patterns (#6736) (#6737) 2025-12-01 16:26:16 -05:00
t_std_randomize_bad1.out
t_std_randomize_bad1.py
t_std_randomize_bad1.v
t_std_randomize_mod.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_std_randomize_mod.v
t_std_randomize_no_args.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_std_randomize_no_args.v
t_std_randomize_with.py Support `std::randomize(){...}` (#4706) (#6573) 2025-11-14 14:32:01 -05:00
t_std_randomize_with.v Support `std::randomize(){...}` (#4706) (#6573) 2025-11-14 14:32:01 -05:00
t_std_waiver.py
t_std_waiver.v
t_std_waiver_no.py
t_std_waiver_no.v
t_stmt_incr_unsup.out
t_stmt_incr_unsup.py
t_stmt_incr_unsup.v
t_stop_bad.out
t_stop_bad.py
t_stop_bad.v
t_stop_winos_bad.out
t_stop_winos_bad.py
t_stop_winos_bad.v
t_stream.py
t_stream.v
t_stream2.py
t_stream2.v
t_stream3.py
t_stream3.v
t_stream4.py
t_stream4.v
t_stream5.py
t_stream5.v
t_stream_bad.out
t_stream_bad.py
t_stream_bad.v
t_stream_bitqueue.py
t_stream_bitqueue.v
t_stream_crc_example.py
t_stream_crc_example.v
t_stream_dynamic.py
t_stream_dynamic.v Tests: Misc cleanups 2025-11-23 17:14:44 -05:00
t_stream_integer_type.py
t_stream_integer_type.v
t_stream_queue.py
t_stream_queue.v
t_stream_string_array.py
t_stream_string_array.v
t_stream_struct.py
t_stream_struct.v
t_stream_trace.out
t_stream_trace.py
t_stream_trace.v
t_stream_type.py Fix segfault on type casts (#6574). 2025-10-24 20:14:41 -04:00
t_stream_type.v Fix segfault on type casts (#6574). 2025-10-24 20:14:41 -04:00
t_stream_unpack.py
t_stream_unpack.v
t_stream_unpack_lhs.out
t_stream_unpack_lhs.py
t_stream_unpack_lhs.v
t_stream_unpack_narrower.out
t_stream_unpack_narrower.py
t_stream_unpack_narrower.v
t_stream_unpack_wider.py
t_stream_unpack_wider.v Fix stream operator widening (#6693) (#6697) 2025-11-15 22:52:52 -05:00
t_strength_2_uneq_assign.out
t_strength_2_uneq_assign.py
t_strength_2_uneq_assign.v
t_strength_assignments_constants.py
t_strength_assignments_constants.v
t_strength_bufif1.out
t_strength_bufif1.py
t_strength_bufif1.v
t_strength_equal_strength.py
t_strength_equal_strength.v
t_strength_highz.out
t_strength_highz.py
t_strength_highz.v
t_strength_strong1_strong1_bad.out
t_strength_strong1_strong1_bad.py
t_strength_strong1_strong1_bad.v
t_strength_strongest_constant.py
t_strength_strongest_constant.v
t_strength_strongest_non_tristate.py
t_strength_strongest_non_tristate.v
t_string.py
t_string.v
t_string_add_bad.out
t_string_add_bad.py
t_string_add_bad.v
t_string_byte.py
t_string_byte.v
t_string_convert2.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_string_convert2.v Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_string_dyn_num.out
t_string_dyn_num.py
t_string_dyn_num.v
t_string_octal.py
t_string_octal.v
t_string_repl.py
t_string_repl.v
t_string_sel.py
t_string_sel.v
t_string_size.out
t_string_size.py
t_string_size.v
t_string_to_bit.py
t_string_to_bit.v
t_string_type_methods.py
t_string_type_methods.v
t_string_type_methods_bad.out
t_string_type_methods_bad.py
t_string_type_methods_bad.v
t_struct_anon.py
t_struct_anon.v
t_struct_array.py
t_struct_array.v
t_struct_array_assignment.py
t_struct_array_assignment.v
t_struct_array_assignment_delayed.py
t_struct_array_assignment_delayed.v
t_struct_assign.out
t_struct_assign.py
t_struct_assign.v
t_struct_circ_bad.out
t_struct_circ_bad.py
t_struct_circ_bad.v
t_struct_clk.py
t_struct_clk.v
t_struct_cons_cast.py
t_struct_cons_cast.v
t_struct_contents.py
t_struct_contents.v
t_struct_contents_bad.out
t_struct_contents_bad.py
t_struct_contents_bad.v
t_struct_genfor.py
t_struct_genfor.v
t_struct_init.py
t_struct_init.v
t_struct_init_bad.out
t_struct_init_bad.py
t_struct_init_trace.py
t_struct_initial_assign.py
t_struct_initial_assign.v
t_struct_initial_assign_public.py
t_struct_literal_param.py
t_struct_literal_param.v
t_struct_negate.py
t_struct_negate.v
t_struct_nest.py
t_struct_nest.v
t_struct_nest_uarray.py
t_struct_nest_uarray.v
t_struct_notfound_bad.out
t_struct_notfound_bad.py
t_struct_notfound_bad.v
t_struct_packed_init_bad.out
t_struct_packed_init_bad.py
t_struct_packed_init_bad.v
t_struct_packed_sysfunct.py
t_struct_packed_sysfunct.v
t_struct_packed_value_list.py
t_struct_packed_value_list.v
t_struct_packed_write_read.py
t_struct_packed_write_read.v
t_struct_param.py
t_struct_param.v
t_struct_param_overflow.py
t_struct_param_overflow.v
t_struct_pat.py
t_struct_pat.v
t_struct_pat_toomany_bad.out
t_struct_pat_toomany_bad.py
t_struct_pat_toomany_bad.v
t_struct_pat_width.py
t_struct_pat_width.v
t_struct_port.py
t_struct_port.v
t_struct_portsel.py
t_struct_portsel.v
t_struct_type_bad.out
t_struct_type_bad.py
t_struct_type_bad.v
t_struct_unaligned.py
t_struct_unaligned.v
t_struct_unpacked.py
t_struct_unpacked.v
t_struct_unpacked_array.py
t_struct_unpacked_array.v
t_struct_unpacked_clean.py
t_struct_unpacked_clean.v
t_struct_unpacked_init.out
t_struct_unpacked_init.py
t_struct_unpacked_init.v
t_struct_unpacked_param.py Support unpacked struct in localparam (#6053 partial) (#6708) 2025-11-27 23:17:18 +04:00
t_struct_unpacked_param.v Support unpacked struct in localparam (#6053 partial) (#6708) 2025-11-27 23:17:18 +04:00
t_struct_unused.py
t_struct_unused.v
t_structu_dataType_assignment.py
t_structu_dataType_assignment.v Tests: Misc cleanups 2025-11-23 17:14:44 -05:00
t_structu_dataType_assignment_bad.out
t_structu_dataType_assignment_bad.py
t_structu_dataType_assignment_bad.v
t_structu_wide.py
t_structu_wide.v
t_sv_bus_mux_demux.py
t_sv_bus_mux_demux.v
t_sv_conditional.py
t_sv_conditional.v
t_sv_cpu.py
t_sv_cpu.v
t_sys_delta_monitor.out
t_sys_delta_monitor.py
t_sys_delta_monitor.v
t_sys_file_autoflush.py
t_sys_file_basic.out
t_sys_file_basic.py
t_sys_file_basic.v
t_sys_file_basic_cover_expr.out Fix expression coverage of system calls (#6592) 2025-11-06 08:23:35 -05:00
t_sys_file_basic_cover_expr.py Fix expression coverage of system calls (#6592) 2025-11-06 08:23:35 -05:00
t_sys_file_basic_input.dat
t_sys_file_basic_mcd.out
t_sys_file_basic_mcd.py
t_sys_file_basic_mcd.v
t_sys_file_basic_mcd_test2_0.dat
t_sys_file_basic_mcd_test2_1.dat
t_sys_file_basic_mcd_test2_2.dat
t_sys_file_basic_mcd_test5.dat
t_sys_file_basic_uz.dat
t_sys_file_basic_uz.out
t_sys_file_basic_uz.py
t_sys_file_basic_uz.v
t_sys_file_eof.py
t_sys_file_eof.v
t_sys_file_null.py
t_sys_file_null.v
t_sys_file_scan.dat
t_sys_file_scan.py
t_sys_file_scan.v
t_sys_file_scan2.dat
t_sys_file_scan2.py
t_sys_file_scan2.v
t_sys_file_zero.py
t_sys_file_zero.v
t_sys_fmonitor.out
t_sys_fmonitor.py
t_sys_fmonitor.v
t_sys_fread.out
t_sys_fread.py
t_sys_fread.v
t_sys_fscanf_bad.out
t_sys_fscanf_bad.py
t_sys_fscanf_bad.v
t_sys_fstrobe.out
t_sys_fstrobe.py
t_sys_fstrobe.v
t_sys_monitor.out
t_sys_monitor.py
t_sys_monitor.v
t_sys_monitor_changes.out
t_sys_monitor_changes.py
t_sys_monitor_changes.v
t_sys_monitor_dotted.py
t_sys_monitor_dotted.v
t_sys_plusargs.py
t_sys_plusargs.v
t_sys_plusargs_bad.py
t_sys_plusargs_bad.v
t_sys_psprintf.py
t_sys_psprintf.v
t_sys_psprintf_warn_bad.out
t_sys_psprintf_warn_bad.py
t_sys_queue_unsup.out
t_sys_queue_unsup.py
t_sys_queue_unsup.v
t_sys_rand.py
t_sys_rand.v
t_sys_rand_concat.py
t_sys_rand_concat.v
t_sys_rand_seed.py
t_sys_rand_seed.v
t_sys_readmem.py
t_sys_readmem.v
t_sys_readmem_4state.mem
t_sys_readmem_4state.py
t_sys_readmem_4state.v
t_sys_readmem_4state__b.mem.out
t_sys_readmem_4state__h.mem.out
t_sys_readmem_align_h.mem
t_sys_readmem_assoc.py
t_sys_readmem_assoc.v
t_sys_readmem_assoc__c_b.mem.out
t_sys_readmem_assoc__w_h.mem.out
t_sys_readmem_assoc_bad.out
t_sys_readmem_assoc_bad.py
t_sys_readmem_assoc_bad.v
t_sys_readmem_b.mem
t_sys_readmem_b_8.mem
t_sys_readmem_bad_addr.mem
t_sys_readmem_bad_addr.out
t_sys_readmem_bad_addr.py
t_sys_readmem_bad_addr.v
t_sys_readmem_bad_addr2.mem
t_sys_readmem_bad_addr2.out
t_sys_readmem_bad_addr2.py
t_sys_readmem_bad_addr2.v
t_sys_readmem_bad_digit.mem
t_sys_readmem_bad_digit.out
t_sys_readmem_bad_digit.py
t_sys_readmem_bad_digit.v
t_sys_readmem_bad_end.mem
t_sys_readmem_bad_end.out
t_sys_readmem_bad_end.py
t_sys_readmem_bad_end.v
t_sys_readmem_bad_end2.mem
t_sys_readmem_bad_notfound.out
t_sys_readmem_bad_notfound.py
t_sys_readmem_bad_notfound.v
t_sys_readmem_c.mem
t_sys_readmem_eof.py
t_sys_readmem_eof.v
t_sys_readmem_h.mem
t_sys_readmem_i.mem
t_sys_readmem_q.mem
t_sys_readmem_s.mem
t_sys_sformat.py
t_sys_sformat.v
t_sys_sformat_noopt.py
t_sys_sscanf.py
t_sys_sscanf.v
t_sys_strobe.out
t_sys_strobe.py
t_sys_strobe.v
t_sys_system.py
t_sys_system.v
t_sys_time.py
t_sys_time.v
t_sys_writemem.gold1.mem
t_sys_writemem.gold2.mem
t_sys_writemem.gold3.mem
t_sys_writemem.gold4.mem
t_sys_writemem.gold5.mem
t_sys_writemem.gold6.mem
t_sys_writemem.gold7.mem
t_sys_writemem.gold8.mem
t_sys_writemem.py
t_sys_writemem_b.gold1.mem
t_sys_writemem_b.gold2.mem
t_sys_writemem_b.gold3.mem
t_sys_writemem_b.gold4.mem
t_sys_writemem_b.gold5.mem
t_sys_writemem_b.gold6.mem
t_sys_writemem_b.gold7.mem
t_sys_writemem_b.gold8.mem
t_sys_writemem_b.py
t_tagged.out
t_tagged.py
t_tagged.v
t_threads_counter.v
t_threads_counter_0.py
t_threads_counter_1.py
t_threads_counter_2.py
t_threads_counter_4.py
t_threads_crazy.py
t_threads_crazy.v
t_threads_crazy_context.py Change default thread pool sizes to respect processor affinity (#6604) 2025-10-28 18:10:40 +00:00
t_threads_nondeterminism.py
t_time.py
t_time.v Tests: Reindent some tests. No functional change. 2025-11-16 17:13:01 -05:00
t_time_literals.py
t_time_literals.v Tests: Reindent some tests. No functional change. 2025-11-16 17:13:01 -05:00
t_time_param.py
t_time_param.v Tests: Reindent some tests. No functional change. 2025-11-16 17:13:01 -05:00
t_time_passed.out
t_time_passed.py
t_time_passed.v Tests: Reindent some tests. No functional change. 2025-11-16 17:13:01 -05:00
t_time_print.out
t_time_print.py
t_time_print.v Tests: Reindent some tests. No functional change. 2025-11-16 17:13:01 -05:00
t_time_sc.v Tests: Reindent some tests. No functional change. 2025-11-16 17:13:01 -05:00
t_time_sc_10_ns.out Support SystemC time resolution with step 10/100 (#6633) (#6715) 2025-12-09 19:34:29 -05:00
t_time_sc_10_ns.py Support SystemC time resolution with step 10/100 (#6633) (#6715) 2025-12-09 19:34:29 -05:00
t_time_sc_bad.out
t_time_sc_bad.py
t_time_sc_bad_mt.out
t_time_sc_bad_mt.py
t_time_sc_fs.out
t_time_sc_fs.py
t_time_sc_ms.out
t_time_sc_ms.py
t_time_sc_ns.out
t_time_sc_ns.py
t_time_sc_sec.out
t_time_sc_sec.py
t_time_sc_us.out
t_time_sc_us.py
t_time_sscanf.py
t_time_sscanf.v
t_time_stamp64.py
t_time_stamp64.v Tests: Reindent some tests. No functional change. 2025-11-16 17:13:01 -05:00
t_time_stamp_double.py
t_time_timeunit.py
t_time_timeunit.v Tests: Reindent some tests. No functional change. 2025-11-16 17:13:01 -05:00
t_time_vpi.v Tests: Reindent some tests. No functional change. 2025-11-16 17:13:01 -05:00
t_time_vpi_1fs1fs.out
t_time_vpi_1fs1fs.py
t_time_vpi_1ms10ns.out
t_time_vpi_1ms10ns.py
t_time_vpi_1ns1ns.out
t_time_vpi_1ns1ns.py
t_time_vpi_1ps1fs.out
t_time_vpi_1ps1fs.py
t_time_vpi_1s10ns.out
t_time_vpi_1s10ns.py
t_time_vpi_1us1ns.out
t_time_vpi_1us1ns.py
t_time_vpi_10ms10ns.out
t_time_vpi_10ms10ns.py
t_time_vpi_100s10ms.out
t_time_vpi_100s10ms.py
t_time_vpi_c.cpp Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
t_timescale_default.out
t_timescale_default.py
t_timescale_default.v Tests: Reindent some tests. No functional change. 2025-11-16 17:13:01 -05:00
t_timescale_lint.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_timescale_lint.v Tests: Reindent some tests. No functional change. 2025-11-16 17:13:01 -05:00
t_timescale_lint2.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_timescale_lint_bad.out
t_timescale_lint_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_timescale_nobackwards.out
t_timescale_nobackwards.py
t_timescale_nobackwards.v
t_timescale_parse.cpp
t_timescale_parse.py
t_timescale_parse.v Tests: Reindent some tests. No functional change. 2025-11-16 17:13:01 -05:00
t_timescale_parse_bad.out Tests: Reindent some tests. No functional change. 2025-11-16 17:13:01 -05:00
t_timescale_parse_bad.py
t_timescale_parse_bad.v Tests: Reindent some tests. No functional change. 2025-11-16 17:13:01 -05:00
t_timescale_udp.py
t_timescale_udp.v Tests: Reindent some tests. No functional change. 2025-11-16 17:13:01 -05:00
t_timescale_unit.out
t_timescale_unit.py
t_timescale_unit.v Tests: Reindent some tests. No functional change. 2025-11-16 17:13:01 -05:00
t_timing_always.py
t_timing_always.v
t_timing_class.py
t_timing_class.v
t_timing_class_static_delay.py
t_timing_class_static_delay.v
t_timing_clkgen1.py
t_timing_clkgen1.v
t_timing_clkgen2.py
t_timing_clkgen2.v
t_timing_clkgen3.py
t_timing_clkgen3.v
t_timing_clkgen_sc.py
t_timing_clkgen_unsup.out
t_timing_clkgen_unsup.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_timing_cmake.py
t_timing_debug1.out Internals: Make all scheduling region use a single trigger vector. (#6620) 2025-11-01 15:43:20 +00:00
t_timing_debug1.py
t_timing_debug2.out Fix fork scheduling semantics (#6730) 2025-11-26 15:52:53 +03:00
t_timing_debug2.py
t_timing_delay_callstack.py
t_timing_delay_callstack.v
t_timing_dlyassign.py
t_timing_dlyassign.v
t_timing_dpi_unsup.cpp
t_timing_dpi_unsup.out
t_timing_dpi_unsup.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_timing_dpi_unsup.v
t_timing_dynscope.py
t_timing_dynscope.v
t_timing_events.py
t_timing_events.v
t_timing_finish.py
t_timing_finish.v
t_timing_finish2.py
t_timing_finish2.v
t_timing_fork_comb.py Fix fork scheduling semantics (#6730) 2025-11-26 15:52:53 +03:00
t_timing_fork_comb.v
t_timing_fork_join.out
t_timing_fork_join.py
t_timing_fork_join.v
t_timing_fork_join_forkproc.out
t_timing_fork_join_forkproc.py
t_timing_fork_many.py
t_timing_fork_many.v
t_timing_fork_nba.py
t_timing_fork_nba.v
t_timing_fork_no_timing_ctrl.py
t_timing_fork_no_timing_ctrl.v
t_timing_fork_rec_method.py
t_timing_fork_rec_method.v
t_timing_fork_taskcall.py
t_timing_fork_taskcall.v
t_timing_func_bad.out
t_timing_func_bad.py
t_timing_func_bad.v
t_timing_func_fork.py
t_timing_func_fork.v
t_timing_func_fork_bad.out
t_timing_func_fork_bad.py
t_timing_func_fork_bad.v
t_timing_func_join.py
t_timing_func_join.v
t_timing_initial_always.py
t_timing_initial_always.v
t_timing_initial_edge.py Fix `--timing` with `--x-initial-edge` (#6603) (#6631) 2025-11-03 09:39:23 -05:00
t_timing_initial_edge.v Fix `--timing` with `--x-initial-edge` (#6603) (#6631) 2025-11-03 09:39:23 -05:00
t_timing_intra_assign.out
t_timing_intra_assign.py
t_timing_intra_assign.v
t_timing_intra_assign_func.py
t_timing_intra_assign_func.v
t_timing_intra_assign_nolocalize.py
t_timing_localevent.py
t_timing_localevent.v
t_timing_long.py
t_timing_nba_1.py
t_timing_nba_1.v
t_timing_nba_2.py
t_timing_nba_2.v
t_timing_nested_assignment_on_lhs.py
t_timing_nested_assignment_on_lhs.v
t_timing_off.py
t_timing_off.v
t_timing_osc.out
t_timing_osc.py
t_timing_osc.v
t_timing_pong.py
t_timing_pong.v
t_timing_protect.py
t_timing_reentry.py
t_timing_reentry.v
t_timing_sched.py
t_timing_sched.v
t_timing_sched_if.py
t_timing_sched_if.v
t_timing_sched_nba.py
t_timing_sched_nba.v
t_timing_split.py Fix splitting of Syms constructor/destructor bodies (#6662) 2025-11-08 10:36:12 +00:00
t_timing_split.v
t_timing_strobe.out
t_timing_strobe.py
t_timing_strobe.v
t_timing_suspend_two_retrigger.py Fix incorrectly resuming process waiting on multiple events (#6597) 2025-10-26 15:41:49 +00:00
t_timing_suspend_two_retrigger.v Fix incorrectly resuming process waiting on multiple events (#6597) 2025-10-26 15:41:49 +00:00
t_timing_suspendable_deep.py
t_timing_suspendable_deep.v
t_timing_timescale.out
t_timing_timescale.py
t_timing_timescale.v
t_timing_trace.out
t_timing_trace.py
t_timing_trace.v
t_timing_trace_fst.out
t_timing_trace_fst.py
t_timing_trace_saif.out
t_timing_trace_saif.py
t_timing_unset1.out
t_timing_unset1.py
t_timing_unset2.out
t_timing_unset2.py
t_timing_unset3.out
t_timing_unset3.py
t_timing_wait1.py
t_timing_wait1.v
t_timing_wait2.out
t_timing_wait2.py
t_timing_wait2.v
t_timing_wait3.py
t_timing_wait3.v
t_timing_wait_long.out
t_timing_wait_long.py
t_timing_wait_long.v
t_timing_write_expr.py
t_timing_write_expr.v
t_timing_zerodly.py
t_timing_zerodly.v
t_timing_zerodly_consecutive.py
t_timing_zerodly_consecutive.v
t_timing_zerodly_unsup.out
t_timing_zerodly_unsup.py
t_timing_zerodly_unsup.v
t_trace_abort.out
t_trace_abort.py
t_trace_abort.v
t_trace_abort_fst.out
t_trace_abort_fst.py
t_trace_abort_fst_sc.out
t_trace_abort_fst_sc.py
t_trace_abort_saif.out
t_trace_abort_saif.py
t_trace_array.out
t_trace_array.py
t_trace_array.v
t_trace_array_fst.out
t_trace_array_fst.py
t_trace_array_fst_portable.py
t_trace_array_fst_portable_sc.py
t_trace_array_fst_sc.out
t_trace_array_fst_sc.py
t_trace_array_fst_threads_1.py
t_trace_array_fst_threads_1_sc.py
t_trace_array_fst_threads_2.py
t_trace_array_fst_threads_2_sc.py
t_trace_array_saif.out
t_trace_array_saif.py
t_trace_array_saif_portable.py
t_trace_array_saif_threads_1.py
t_trace_array_saif_threads_2.py
t_trace_array_threads_1.py
t_trace_ascendingrange.out
t_trace_ascendingrange.py
t_trace_ascendingrange.v
t_trace_ascendingrange_fst.out
t_trace_ascendingrange_fst.py
t_trace_ascendingrange_fst_sc.out
t_trace_ascendingrange_fst_sc.py
t_trace_ascendingrange_saif.out
t_trace_ascendingrange_saif.py
t_trace_binary.out
t_trace_binary.py
t_trace_binary.v
t_trace_binary_flag_off.out
t_trace_binary_flag_off.py
t_trace_cat.cpp
t_trace_cat.out
t_trace_cat.py
t_trace_cat.v
t_trace_cat_fst.cpp
t_trace_cat_fst.py
t_trace_cat_fst.v
t_trace_cat_fst__0000.out
t_trace_cat_fst__0100.out
t_trace_cat_renew.out
t_trace_cat_renew.py
t_trace_cat_renew__0000.out
t_trace_cat_renew__0100.out
t_trace_cat_reopen.out
t_trace_cat_reopen.py
t_trace_cat_reopen__0000.out
t_trace_cat_reopen__0100.out
t_trace_class.out
t_trace_class.py
t_trace_class.v
t_trace_complex.out
t_trace_complex.py
t_trace_complex.v
t_trace_complex_fst.out
t_trace_complex_fst.py
t_trace_complex_fst_sc.out
t_trace_complex_fst_sc.py
t_trace_complex_fst_thread.out
t_trace_complex_fst_threads_1.py
t_trace_complex_fst_threads_1_sc.py
t_trace_complex_fst_threads_2.py
t_trace_complex_fst_threads_2_sc.py
t_trace_complex_params.out
t_trace_complex_params.py
t_trace_complex_params_fst.out
t_trace_complex_params_fst.py
t_trace_complex_params_fst_sc.out
t_trace_complex_params_fst_sc.py
t_trace_complex_params_saif.out
t_trace_complex_params_saif.py
t_trace_complex_portable.py
t_trace_complex_saif.out
t_trace_complex_saif.py
t_trace_complex_saif_threads_1.py
t_trace_complex_saif_threads_2.py
t_trace_complex_structs.out
t_trace_complex_structs.py
t_trace_complex_structs_fst.out
t_trace_complex_structs_fst.py
t_trace_complex_structs_fst_sc.out
t_trace_complex_structs_fst_sc.py
t_trace_complex_structs_saif.out
t_trace_complex_structs_saif.py
t_trace_complex_threads_1.py
t_trace_decoration.py
t_trace_decoration.v
t_trace_depth.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_trace_depth.v Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_trace_dumporder_bad.out
t_trace_dumporder_bad.py
t_trace_dumporder_bad.v
t_trace_dumpvars_dyn.cpp
t_trace_dumpvars_dyn.v
t_trace_dumpvars_dyn_fst_0.out
t_trace_dumpvars_dyn_fst_0.py
t_trace_dumpvars_dyn_fst_1.out
t_trace_dumpvars_dyn_fst_1.py
t_trace_dumpvars_dyn_saif_0.out
t_trace_dumpvars_dyn_saif_0.py
t_trace_dumpvars_dyn_saif_1.out
t_trace_dumpvars_dyn_saif_1.py
t_trace_dumpvars_dyn_vcd_0.out
t_trace_dumpvars_dyn_vcd_0.py
t_trace_dumpvars_dyn_vcd_1.out
t_trace_dumpvars_dyn_vcd_1.py
t_trace_empty.py
t_trace_empty.v
t_trace_ena.v
t_trace_ena_cc.out
t_trace_ena_cc.py
t_trace_ena_sc.out
t_trace_ena_sc.py
t_trace_enum.v
t_trace_enum_fst.out
t_trace_enum_fst.py
t_trace_enum_saif.out
t_trace_enum_saif.py
t_trace_event.out
t_trace_event.py
t_trace_event.v
t_trace_event_fst.out
t_trace_event_fst.py
t_trace_flag_off.out
t_trace_flag_off.py
t_trace_flag_off.v
t_trace_fst.out Fix missing net type mappings in FST traces (#6582) (#6583) 2025-10-21 21:07:51 -04:00
t_trace_fst.py
t_trace_fst.v Fix missing net type mappings in FST traces (#6582) (#6583) 2025-10-21 21:07:51 -04:00
t_trace_fst_cmake.out
t_trace_fst_cmake.py
t_trace_fst_cmake.v
t_trace_fst_sc.out
t_trace_fst_sc.py
t_trace_fst_sc.v
t_trace_fst_sc_cmake.out
t_trace_fst_sc_cmake.py
t_trace_fst_sc_cmake.v
t_trace_iface.out
t_trace_iface.py
t_trace_iface.v
t_trace_jumps_do_while_saif.out
t_trace_jumps_do_while_saif.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_trace_max.out
t_trace_max.py
t_trace_max.v
t_trace_max_default.out
t_trace_max_default.py
t_trace_multi_bad.out
t_trace_multi_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_trace_multi_bad.v
t_trace_no_top_name.out
t_trace_no_top_name.py
t_trace_no_top_name.v
t_trace_no_top_name2.cpp
t_trace_no_top_name2.v
t_trace_no_top_name2_fst.out
t_trace_no_top_name2_fst.py
t_trace_no_top_name2_saif.out
t_trace_no_top_name2_saif.py
t_trace_no_top_name2_vcd.out
t_trace_no_top_name2_vcd.py
t_trace_noflag_bad.out
t_trace_noflag_bad.py
t_trace_noflag_bad.v
t_trace_noflag_bad_c.cpp
t_trace_off_cc.py
t_trace_off_sc.py
t_trace_open_wrong_order_bad.cpp
t_trace_open_wrong_order_bad.out
t_trace_open_wrong_order_bad.py
t_trace_open_wrong_order_bad.v
t_trace_packed_struct.py
t_trace_packed_struct.v
t_trace_packed_struct_fst.out
t_trace_packed_struct_fst.py
t_trace_packed_struct_fst_sc.out
t_trace_packed_struct_fst_sc.py
t_trace_packed_struct_saif.out
t_trace_packed_struct_saif.py
t_trace_param.py
t_trace_param.v
t_trace_param_fst.py
t_trace_param_override.out
t_trace_param_override.py
t_trace_param_override.v
t_trace_param_saif.out
t_trace_param_saif.py
t_trace_primitive.py
t_trace_primitive.v
t_trace_primitive_fst.py
t_trace_primitive_fst_sc.py
t_trace_primitive_saif.out
t_trace_primitive_saif.py
t_trace_public.out
t_trace_public.v
t_trace_public_func.cpp
t_trace_public_func.py
t_trace_public_func.vlt
t_trace_public_func_vlt.py
t_trace_public_sig.cpp
t_trace_public_sig.py
t_trace_public_sig.vlt
t_trace_public_sig_vlt.py
t_trace_rollover.cpp
t_trace_rollover.out
t_trace_rollover.py
t_trace_saif.out Fix missing net type mappings in FST traces (#6582) (#6583) 2025-10-21 21:07:51 -04:00
t_trace_saif.py
t_trace_saif_cmake.out
t_trace_saif_cmake.py
t_trace_saif_sc.out
t_trace_saif_sc.py
t_trace_sc_empty.py
t_trace_sc_empty.v
t_trace_scope_no_inline.out
t_trace_scope_no_inline.py
t_trace_scope_no_inline.v
t_trace_scope_no_inline.vlt
t_trace_scope_vlt.out
t_trace_scope_vlt.py
t_trace_scope_vlt.v
t_trace_scope_vlt.vlt
t_trace_scstruct.py
t_trace_scstruct.v
t_trace_split_cfuncs.py
t_trace_split_cfuncs.v
t_trace_split_cfuncs_dpi_export.py
t_trace_split_cfuncs_dpi_export.v
t_trace_string.py
t_trace_string.v
t_trace_string_fst.py
t_trace_string_fst_sc.py
t_trace_timescale.out
t_trace_timescale.py
t_trace_timescale.v
t_trace_timing1.out
t_trace_timing1.py
t_trace_timing1.v
t_trace_two_a.v
t_trace_two_b.v
t_trace_two_cc.cpp
t_trace_two_dump_cc.out
t_trace_two_dump_cc.py
t_trace_two_dump_sc.out
t_trace_two_dump_sc.py
t_trace_two_dumpfst_cc.out
t_trace_two_dumpfst_cc.py
t_trace_two_hdr_cc.out
t_trace_two_hdr_cc.py
t_trace_two_hdr_sc.out
t_trace_two_hdr_sc.py
t_trace_two_hdrfst_cc.out
t_trace_two_hdrfst_cc.py
t_trace_two_port_cc.out
t_trace_two_port_cc.py
t_trace_two_port_sc.out
t_trace_two_port_sc.py
t_trace_two_portfst_cc.out
t_trace_two_portfst_cc.py
t_trace_two_sc.cpp
t_trace_ub_misaligned_address.out
t_trace_ub_misaligned_address.py
t_trace_ub_misaligned_address.v
t_trace_wide_struct.py
t_trace_wide_struct.v
t_tri_and_eqcase.out
t_tri_and_eqcase.py
t_tri_and_eqcase.v
t_tri_array.out
t_tri_array.py
t_tri_array.v
t_tri_array_bufif.py
t_tri_array_bufif.v
t_tri_array_pull.py
t_tri_array_pull.v
t_tri_compass_bad.out
t_tri_compass_bad.py
t_tri_compass_bad.v
t_tri_cond_eqcase_with_1.py
t_tri_cond_eqcase_with_1.v
t_tri_dangle.py
t_tri_dangle.v
t_tri_eqcase.py
t_tri_eqcase.v
t_tri_eqcase_input.py
t_tri_eqcase_input.v
t_tri_gate.cpp
t_tri_gate.v
t_tri_gate_bufif0.py
t_tri_gate_bufif0_pins_inout.py
t_tri_gate_bufif1.py
t_tri_gate_bufif1_pins_inout.py
t_tri_gate_cond.py
t_tri_gate_cond_pins_inout.py
t_tri_gate_nmos.py
t_tri_gate_nmos_pins_inout.py
t_tri_gate_notif0.py
t_tri_gate_notif0_pins_inout.py
t_tri_gate_notif1.py
t_tri_gate_notif1_pins_inout.py
t_tri_gate_pmos.py
t_tri_gate_pmos_pins_inout.py
t_tri_gen.py
t_tri_gen.v
t_tri_graph.py
t_tri_graph.v
t_tri_ifbegin.py
t_tri_ifbegin.v
t_tri_inout.cpp
t_tri_inout.py
t_tri_inout.v
t_tri_inout2.py
t_tri_inout2.v
t_tri_inout_pins_inout.py
t_tri_inz.cpp
t_tri_inz.py
t_tri_inz.v
t_tri_no_top.py
t_tri_no_top.v
t_tri_public.py
t_tri_public.v
t_tri_pull01.py
t_tri_pull01.v
t_tri_pull2_bad.out
t_tri_pull2_bad.py
t_tri_pull2_bad.v
t_tri_pull_bad.out
t_tri_pull_bad.py
t_tri_pull_bad.v
t_tri_pull_implicit.py
t_tri_pull_implicit.v
t_tri_pull_unsup.out
t_tri_pull_unsup.py
t_tri_pull_unsup.v
t_tri_pullup.cpp
t_tri_pullup.py
t_tri_pullup.v
t_tri_pullup_pins_inout.py
t_tri_pullvec_bad.out
t_tri_pullvec_bad.py
t_tri_pullvec_bad.v
t_tri_select.cpp
t_tri_select.py
t_tri_select.v
t_tri_select_eqcase.py
t_tri_select_eqcase.v
t_tri_select_pins_inout.py
t_tri_select_unsized.py
t_tri_select_unsized.v
t_tri_struct.py
t_tri_struct.v
t_tri_struct_packed.out
t_tri_struct_packed.py
t_tri_struct_packed.v
t_tri_struct_pins_inout.py
t_tri_top_en_out.cpp
t_tri_top_en_out.py
t_tri_top_en_out.v
t_tri_top_en_out_bad.py
t_tri_top_en_out_bad.v
t_tri_unconn.py
t_tri_unconn.v
t_tri_various.py
t_tri_various.v
t_type.py
t_type.v
t_type_array.py
t_type_array.v
t_type_compare.py
t_type_compare.v
t_type_compare_bad.out
t_type_compare_bad.py
t_type_compare_bad.v
t_type_expression_compare.py
t_type_expression_compare.v
t_type_match.py
t_type_match.v
t_type_non_type.py
t_type_non_type.v
t_type_param.py
t_type_param.v
t_type_param_circ_bad.out
t_type_param_circ_bad.py
t_type_param_circ_bad.v
t_type_param_collision.py
t_typedef.py
t_typedef.v
t_typedef_array.py
t_typedef_array.v
t_typedef_circ_bad.out
t_typedef_circ_bad.py
t_typedef_circ_bad.v
t_typedef_consistency_0.py
t_typedef_consistency_0.v
t_typedef_fwd.py
t_typedef_fwd.v
t_typedef_fwd_bad.out
t_typedef_fwd_bad.py
t_typedef_fwd_class.py
t_typedef_fwd_class.v
t_typedef_fwd_nested.py
t_typedef_fwd_nested.v
t_typedef_id_bad.out Fix localparam type assignment from interface type parameters (#6637) (#6732) 2025-12-06 09:42:59 -05:00
t_typedef_id_bad.py
t_typedef_id_bad.v
t_typedef_iface_typedef.py Fix typedef derived from type defined inside interface (#3441) (#6776) 2025-12-08 15:36:21 -05:00
t_typedef_iface_typedef.v Fix typedef derived from type defined inside interface (#3441) (#6776) 2025-12-08 15:36:21 -05:00
t_typedef_iface_typedef2.py Fix typedef derived from type defined inside interface (#3441) (#6776) 2025-12-08 15:36:21 -05:00
t_typedef_iface_typedef2.v Fix typedef derived from type defined inside interface (#3441) (#6776) 2025-12-08 15:36:21 -05:00
t_typedef_iface_typedef3.py Fix typedef derived from type defined inside interface (#3441) (#6776) 2025-12-08 15:36:21 -05:00
t_typedef_iface_typedef3.v Fix typedef derived from type defined inside interface (#3441) (#6776) 2025-12-08 15:36:21 -05:00
t_typedef_iface_typedef4.py Fix typedef derived from type defined inside interface (#3441) (#6776) 2025-12-08 15:36:21 -05:00
t_typedef_iface_typedef4.v Fix typedef derived from type defined inside interface (#3441) (#6776) 2025-12-08 15:36:21 -05:00
t_typedef_iface_typedef5.py Fix typedef derived from type defined inside interface (#3441) (#6776) 2025-12-08 15:36:21 -05:00
t_typedef_iface_typedef5.v Fix typedef derived from type defined inside interface (#3441) (#6776) 2025-12-08 15:36:21 -05:00
t_typedef_iface_typedef6.py Fix typedef derived from type defined inside interface (#3441) (#6776) 2025-12-08 15:36:21 -05:00
t_typedef_iface_typedef6.v Fix typedef derived from type defined inside interface (#3441) (#6776) 2025-12-08 15:36:21 -05:00
t_typedef_iface_typedef7.py Fix typedef derived from type defined inside interface (#3441) (#6776) 2025-12-08 15:36:21 -05:00
t_typedef_iface_typedef7.v Fix typedef derived from type defined inside interface (#3441) (#6776) 2025-12-08 15:36:21 -05:00
t_typedef_no_bad.out
t_typedef_no_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_typedef_no_bad.v
t_typedef_package.py
t_typedef_package.v
t_typedef_param.py
t_typedef_param.v
t_typedef_param_class.py
t_typedef_param_class.v
t_typedef_port.py
t_typedef_port.v
t_typedef_signed.py
t_typedef_signed.v
t_typedef_unused_bad.out
t_typedef_unused_bad.py
t_typedef_unused_bad.v
t_typename.out
t_typename.py
t_typename.v
t_typename_min.py
t_typename_min.v
t_udp_bad.out
t_udp_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_udp_bad.v
t_udp_bad_comb_trigger.out
t_udp_bad_comb_trigger.py
t_udp_bad_comb_trigger.v
t_udp_bad_first_input.out
t_udp_bad_first_input.py
t_udp_bad_first_input.v
t_udp_bad_illegal_output.out Spelling fixes 2025-11-08 16:09:45 -05:00
t_udp_bad_illegal_output.py
t_udp_bad_illegal_output.v
t_udp_bad_input_num.out
t_udp_bad_input_num.py
t_udp_bad_input_num.v
t_udp_bad_line_inputs.out
t_udp_bad_line_inputs.py
t_udp_bad_line_inputs.v
t_udp_bad_line_outputs.out
t_udp_bad_line_outputs.py
t_udp_bad_line_outputs.v
t_udp_bad_multi_output.out
t_udp_bad_multi_output.py
t_udp_bad_multi_output.v
t_udp_binary.py
t_udp_binary.v
t_udp_binary_top.py
t_udp_binary_top.v
t_udp_delay.py
t_udp_delay.v
t_udp_noname.py
t_udp_noname.v
t_udp_nonsequential_x.py Fix X handling in UDPs (#6723) 2025-11-22 20:09:49 -05:00
t_udp_nonsequential_x.v Fix X handling in UDPs (#6723) 2025-11-22 20:09:49 -05:00
t_udp_param_bad.out
t_udp_param_bad.py
t_udp_param_bad.v
t_udp_sequential.py Tests: Disable t_udp_sequential until fix (#6056 workaround) 2025-11-12 18:52:17 -05:00
t_udp_sequential.v
t_udp_sequential_bad.out
t_udp_sequential_bad.py
t_udp_sequential_bad.v
t_udp_sequential_x.py Fix X handling in UDPs (#6723) 2025-11-22 20:09:49 -05:00
t_udp_sequential_x.v Fix X handling in UDPs (#6723) 2025-11-22 20:09:49 -05:00
t_udp_tableend_bad.out
t_udp_tableend_bad.py
t_udp_tableend_bad.v
t_udp_tableeof_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_udp_tableeof_bad.v
t_unbounded.py
t_unbounded.v
t_unbounded_bad.out
t_unbounded_bad.py
t_unbounded_bad.v
t_unconnected.py
t_unconnected.v
t_unconnected_bad.out
t_unconnected_bad.py
t_unconnected_bad.v
t_unicode.py
t_union_hard_bad.out
t_union_hard_bad.py
t_union_hard_bad.v
t_union_soft.out
t_union_soft.py
t_union_soft.v
t_union_unpacked.py
t_union_unpacked.v
t_uniqueif.py
t_uniqueif.v
t_uniqueif_else.py
t_uniqueif_else.v
t_uniqueif_fail1.out
t_uniqueif_fail1.py
t_uniqueif_fail2.out
t_uniqueif_fail2.py
t_uniqueif_fail3.out
t_uniqueif_fail3.py
t_uniqueif_fail4.out
t_uniqueif_fail4.py
t_unopt_array.py
t_unopt_array.v
t_unopt_array_csplit.py Tests: add driver.py test.priority settings (#6725) 2025-11-23 11:57:08 -05:00
t_unopt_array_typedef.py
t_unopt_bound.py
t_unopt_bound.v
t_unopt_combo.py
t_unopt_combo.v
t_unopt_combo.vlt
t_unopt_combo_bad.out
t_unopt_combo_bad.py
t_unopt_combo_isolate.py
t_unopt_combo_isolate.vlt
t_unopt_combo_isolate_vlt.py
t_unopt_combo_waive.py
t_unopt_converge.v
t_unopt_converge_initial.v
t_unopt_converge_initial_run_bad.out Internals: Make all scheduling region use a single trigger vector. (#6620) 2025-11-01 15:43:20 +00:00
t_unopt_converge_initial_run_bad.py
t_unopt_converge_ndbg_bad.out Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_unopt_converge_ndbg_bad.py
t_unopt_converge_print_bad.out Internals: Make all scheduling region use a single trigger vector. (#6620) 2025-11-01 15:43:20 +00:00
t_unopt_converge_print_bad.py
t_unopt_converge_run_bad.out Internals: Make all scheduling region use a single trigger vector. (#6620) 2025-11-01 15:43:20 +00:00
t_unopt_converge_run_bad.py Tests: Fix coverage holes from t_dist_docs_options 2025-10-25 11:00:25 -04:00
t_unopt_converge_unopt_bad.out
t_unopt_converge_unopt_bad.py
t_unoptflat_simple.v
t_unoptflat_simple_2.v
t_unoptflat_simple_2_bad.out
t_unoptflat_simple_2_bad.py
t_unoptflat_simple_3.v
t_unoptflat_simple_3_bad.out
t_unoptflat_simple_3_bad.py
t_unoptflat_simple_bad.out
t_unoptflat_simple_bad.py
t_unpack_array_direct_assignment.py
t_unpack_array_no_expand.py
t_unpack_array_no_expand.v
t_unpacked_array_order.py
t_unpacked_array_order.v
t_unpacked_array_p_fmt.out
t_unpacked_array_p_fmt.py
t_unpacked_array_p_fmt.v
t_unpacked_concat.py
t_unpacked_concat.v
t_unpacked_concat_bad.out
t_unpacked_concat_bad.py
t_unpacked_concat_bad.v
t_unpacked_concat_bad2.out
t_unpacked_concat_bad2.py
t_unpacked_concat_bad2.v
t_unpacked_concat_bad3.out
t_unpacked_concat_bad3.py
t_unpacked_concat_bad3.v
t_unpacked_init.py
t_unpacked_init.v
t_unpacked_slice.py
t_unpacked_slice.v
t_unpacked_slice_range.py
t_unpacked_slice_range.v
t_unpacked_str_init.py
t_unpacked_str_init.v
t_unpacked_str_init2.out
t_unpacked_str_init2.py
t_unpacked_str_init2.v
t_unpacked_str_pair.py
t_unpacked_str_pair.v
t_unpacked_struct_eq.py
t_unpacked_struct_eq.v
t_unpacked_struct_redef.py
t_unpacked_struct_redef.v
t_unpacked_struct_sel.py
t_unpacked_struct_sel.v
t_unpacked_to_packed_param.py
t_unpacked_to_packed_param.v
t_unpacked_to_queue.py
t_unpacked_to_queue.v
t_unpacked_wide_unknown.py
t_unpacked_wide_unknown.v
t_unroll_automatic_task_fork.out
t_unroll_automatic_task_fork.py
t_unroll_automatic_task_fork.v
t_unroll_complexcond.py
t_unroll_complexcond.v
t_unroll_delay.out
t_unroll_delay.py
t_unroll_delay.v
t_unroll_forfor.py
t_unroll_forfor.v
t_unroll_genf.py
t_unroll_genf.v
t_unroll_nested.out
t_unroll_nested.py
t_unroll_nested.v
t_unroll_nested_param.py
t_unroll_nested_param.v
t_unroll_nested_unroll.py
t_unroll_pragma.v Add --unroll-limit option (#6654) (#6668) 2025-11-09 12:46:25 -05:00
t_unroll_pragma_disable.py
t_unroll_pragma_full.py Add --unroll-limit option (#6654) (#6668) 2025-11-09 12:46:25 -05:00
t_unroll_pragma_none.py Add --unroll-limit option (#6654) (#6668) 2025-11-09 12:46:25 -05:00
t_unroll_signed.py
t_unroll_signed.v
t_unroll_stmt.out
t_unroll_stmt.py
t_unroll_stmt.v
t_unroll_unopt_io.py
t_unroll_unopt_io.v
t_upd_nonsequential.py Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_upd_nonsequential.v Tests: Rename tests to proper categories 2025-11-10 20:56:38 -05:00
t_urandom.py
t_urandom.v
t_user_type_xassign.py
t_user_type_xassign.v
t_uvm_dpi.v Add `-Wno-vla-cxx-extension` CLang flag, and UVM DPI tests (#6782) 2025-12-09 07:15:28 -05:00
t_uvm_dpi_v2017_1_0.out Add `-Wno-vla-cxx-extension` CLang flag, and UVM DPI tests (#6782) 2025-12-09 07:15:28 -05:00
t_uvm_dpi_v2017_1_0.py Add `-Wno-vla-cxx-extension` CLang flag, and UVM DPI tests (#6782) 2025-12-09 07:15:28 -05:00
t_uvm_dpi_v2020_3_1.out Add `-Wno-vla-cxx-extension` CLang flag, and UVM DPI tests (#6782) 2025-12-09 07:15:28 -05:00
t_uvm_dpi_v2020_3_1.py Add `-Wno-vla-cxx-extension` CLang flag, and UVM DPI tests (#6782) 2025-12-09 07:15:28 -05:00
t_uvm_hello.v Add `-Wno-vla-cxx-extension` CLang flag, and UVM DPI tests (#6782) 2025-12-09 07:15:28 -05:00
t_uvm_hello_all_v2017_1_0_dpi.py Add `-Wno-vla-cxx-extension` CLang flag, and UVM DPI tests (#6782) 2025-12-09 07:15:28 -05:00
t_uvm_hello_all_v2017_1_0_nodpi.py Add `-Wno-vla-cxx-extension` CLang flag, and UVM DPI tests (#6782) 2025-12-09 07:15:28 -05:00
t_uvm_hello_all_v2020_3_1_dpi.py Add `-Wno-vla-cxx-extension` CLang flag, and UVM DPI tests (#6782) 2025-12-09 07:15:28 -05:00
t_uvm_hello_all_v2020_3_1_nodpi.py Add `-Wno-vla-cxx-extension` CLang flag, and UVM DPI tests (#6782) 2025-12-09 07:15:28 -05:00
t_vams_basic.py
t_vams_basic.v
t_vams_kwd_bad.out
t_vams_kwd_bad.py
t_vams_kwd_bad.v
t_vams_wreal.py
t_vams_wreal.v
t_var_assign_landr.py
t_var_assign_landr.v
t_var_assign_landr_noexpand.py
t_var_bad_hide.out
t_var_bad_hide.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_var_bad_hide.v
t_var_bad_hide2.out
t_var_bad_hide2.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_var_bad_hide2.v
t_var_bad_hide_docs.out
t_var_bad_hide_docs.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_var_bad_hide_docs.v
t_var_bad_sameas.out
t_var_bad_sameas.py
t_var_bad_sameas.v
t_var_bad_sv.out
t_var_bad_sv.py
t_var_bad_sv.v
t_var_const.py
t_var_const.v
t_var_const2.py
t_var_const2.v
t_var_const_bad.out
t_var_const_bad.py
t_var_const_bad.v
t_var_dotted1.v
t_var_dotted1_inl0.py
t_var_dotted1_inl1.py
t_var_dotted1_inl2.py
t_var_dotted2.v
t_var_dotted2_inl0.py
t_var_dotted2_inl1.py
t_var_dotted_dup_bad.out
t_var_dotted_dup_bad.py
t_var_dotted_dup_bad.v
t_var_dup2.py
t_var_dup2.v
t_var_dup2_bad.out
t_var_dup2_bad.py
t_var_dup2_bad.v
t_var_dup3.py
t_var_dup3.v
t_var_dup_bad.out
t_var_dup_bad.py
t_var_dup_bad.v
t_var_escape.out
t_var_escape.py
t_var_escape.v
t_var_extern_method_lifetime.py
t_var_extern_method_lifetime.v
t_var_in_assign.py
t_var_in_assign.v
t_var_in_assign_bad.out
t_var_in_assign_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_var_in_assign_bad.v
t_var_in_assign_pedantic.py
t_var_in_fork.py
t_var_in_fork.v
t_var_init.py
t_var_init.v
t_var_lifetime_module_bad.out
t_var_lifetime_module_bad.py
t_var_lifetime_module_bad.v
t_var_local.py
t_var_local.v
t_var_nonamebegin.out
t_var_nonamebegin.py
t_var_nonamebegin.v
t_var_nonamebegin__log.out
t_var_notfound_bad.out
t_var_notfound_bad.py
t_var_notfound_bad.v
t_var_outoforder.py
t_var_outoforder.v
t_var_overcmp.py
t_var_overcmp.v
t_var_overwidth_bad.cpp
t_var_overwidth_bad.out
t_var_overwidth_bad.py
t_var_overwidth_bad.v
t_var_overzero.py
t_var_overzero.v
t_var_pins_bad.out
t_var_pins_bad.py
t_var_pins_cc.py
t_var_pins_sc1.py Add `sc_biguint` pragma (#6712) 2025-11-20 17:08:59 -05:00
t_var_pins_sc2.py Add `sc_biguint` pragma (#6712) 2025-11-20 17:08:59 -05:00
t_var_pins_sc32.py Add `sc_biguint` pragma (#6712) 2025-11-20 17:08:59 -05:00
t_var_pins_sc64.py Add `sc_biguint` pragma (#6712) 2025-11-20 17:08:59 -05:00
t_var_pins_sc_biguint.py Add `sc_biguint` pragma (#6712) 2025-11-20 17:08:59 -05:00
t_var_pins_sc_uint.py Add `sc_biguint` pragma (#6712) 2025-11-20 17:08:59 -05:00
t_var_pins_sc_uint_biguint.py Add `sc_biguint` pragma (#6712) 2025-11-20 17:08:59 -05:00
t_var_pins_sc_uint_bool.py Add `sc_biguint` pragma (#6712) 2025-11-20 17:08:59 -05:00
t_var_pins_sc_uint_bool_nomain.py
t_var_pins_scui.py Add `sc_biguint` pragma (#6712) 2025-11-20 17:08:59 -05:00
t_var_pinsizes.cpp
t_var_pinsizes.v Add `sc_biguint` pragma (#6712) 2025-11-20 17:08:59 -05:00
t_var_pinsizes.vlt Add `sc_biguint` pragma (#6712) 2025-11-20 17:08:59 -05:00
t_var_port2_bad.out
t_var_port2_bad.py
t_var_port2_bad.v
t_var_port_bad.out
t_var_port_bad.py
t_var_port_bad.v
t_var_port_json_only.out Support complex std::randomize patterns (#6736) (#6737) 2025-12-01 16:26:16 -05:00
t_var_port_json_only.py
t_var_port_json_only.v
t_var_port_xml.out Fix multitop cross references (#6699). 2025-11-26 06:09:29 -05:00
t_var_port_xml.py
t_var_port_xml.v
t_var_ref.py
t_var_ref.v
t_var_ref_bad1.out
t_var_ref_bad1.py
t_var_ref_bad1.v
t_var_ref_bad2.out
t_var_ref_bad2.py
t_var_ref_bad2.v
t_var_ref_bad3.out
t_var_ref_bad3.py
t_var_ref_bad3.v
t_var_ref_noinline.py
t_var_ref_static.out
t_var_ref_static.py
t_var_ref_static.v
t_var_rsvd.py
t_var_rsvd.v
t_var_rsvd_bad.out Improve reusability of --dump-inputs output (#6812) 2025-12-16 11:08:19 +00:00
t_var_rsvd_bad.py
t_var_rsvd_port.py
t_var_rsvd_port.v Fix `free` collision (#6675) 2025-11-10 16:44:41 -05:00
t_var_sc_bv.cpp
t_var_sc_bv.py
t_var_sc_bv.v
t_var_sc_double.cpp
t_var_sc_double.py
t_var_sc_double.v
t_var_set_link.py
t_var_set_link.v
t_var_static.py
t_var_static.v
t_var_static_assign_decl_bad.out
t_var_static_assign_decl_bad.py
t_var_static_assign_decl_bad.v
t_var_static_param.py
t_var_static_param.v
t_var_suggest_bad.out
t_var_suggest_bad.py
t_var_suggest_bad.v
t_var_tieout.py
t_var_tieout.v
t_var_top_struct.py
t_var_top_struct.v
t_var_types.py
t_var_types.v
t_var_types_bad.out
t_var_types_bad.py
t_var_types_bad.v
t_var_vec_sel.py
t_var_vec_sel.v
t_var_xref_bad.out
t_var_xref_bad.py
t_var_xref_bad.v
t_var_xref_gen.py
t_var_xref_gen.v
t_varref_scope_in_interface.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_varref_scope_in_interface.v
t_verilated_all.py
t_verilated_all.v
t_verilated_all_newest.py
t_verilated_all_oldest.py
t_verilated_debug.out Internals: Make all scheduling region use a single trigger vector. (#6620) 2025-11-01 15:43:20 +00:00
t_verilated_debug.py
t_verilated_debug.v
t_verilated_header.py
t_verilated_header.v
t_verilated_threaded.py
t_virtual_interface_delayed.py
t_virtual_interface_delayed.v
t_virtual_interface_gen_for_ref.py Fix references to interfaces containing generate blocks (#6579) 2025-10-22 08:37:43 +02:00
t_virtual_interface_gen_for_ref.v Fix references to interfaces containing generate blocks (#6579) 2025-10-22 08:37:43 +02:00
t_virtual_interface_member_trigger.py Fix mis-ignoring virtual interface member triggers (#5116 reopened) (#6613) 2025-10-29 17:27:15 -04:00
t_virtual_interface_member_trigger.v
t_virtual_interface_member_trigger_realistic_case.py Fix mis-ignoring virtual interface member triggers (#5116 reopened) (#6613) 2025-10-29 17:27:15 -04:00
t_virtual_interface_member_trigger_realistic_case.v
t_virtual_interface_method.py
t_virtual_interface_method.v
t_virtual_interface_method_bad.out
t_virtual_interface_method_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_virtual_interface_method_bad.v
t_virtual_interface_param.py
t_virtual_interface_param.v
t_virtual_interface_param_bind.py
t_virtual_interface_param_bind.v
t_virtual_interface_pkg.py
t_virtual_interface_pkg.v
t_vlcov_data_a.dat
t_vlcov_data_b.dat
t_vlcov_data_c.dat
t_vlcov_data_d.dat
t_vlcov_data_e.dat
t_vlcov_data_f.dat
t_vlcov_debugi.py
t_vlcov_flag_invalid_bad.out
t_vlcov_flag_invalid_bad.py
t_vlcov_info.info.out
t_vlcov_info.py
t_vlcov_merge.out
t_vlcov_merge.py
t_vlcov_nfound_bad.out
t_vlcov_nfound_bad.py
t_vlcov_opt_branch.info.out
t_vlcov_opt_branch.py
t_vlcov_opt_expr.info.out
t_vlcov_opt_expr.py
t_vlcov_opt_line.info.out
t_vlcov_opt_line.py
t_vlcov_opt_toggle.info.out
t_vlcov_opt_toggle.py
t_vlcov_opt_user.info.out
t_vlcov_opt_user.py
t_vlcov_opt_wild.info.out
t_vlcov_opt_wild.py
t_vlcov_rank.out
t_vlcov_rank.py
t_vlcov_rewrite.py
t_vlcov_unlink.py
t_vlprocess_missing.py
t_vlt_legacy.py
t_vlt_legacy.v
t_vlt_legacy.vlt
t_vlt_match_contents.out
t_vlt_match_contents.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_vlt_match_contents.v
t_vlt_match_contents.vlt
t_vlt_match_error.v
t_vlt_match_error.vlt
t_vlt_match_error_1.out
t_vlt_match_error_1.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_vlt_match_error_2.out
t_vlt_match_error_2.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_vlt_match_error_3.out
t_vlt_match_error_3.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_vlt_public_spec.out Add `-param`/`-port` options to `public_flat*` control directives (#6685) 2025-11-13 06:59:02 -05:00
t_vlt_public_spec.py Add `-param`/`-port` options to `public_flat*` control directives (#6685) 2025-11-13 06:59:02 -05:00
t_vlt_public_spec.v Add `-param`/`-port` options to `public_flat*` control directives (#6685) 2025-11-13 06:59:02 -05:00
t_vlt_public_spec.vlt Add `-param`/`-port` options to `public_flat*` control directives (#6685) 2025-11-13 06:59:02 -05:00
t_vlt_syntax_bad.out
t_vlt_syntax_bad.py
t_vlt_syntax_bad.v
t_vlt_syntax_bad.vlt
t_vlt_timing.py
t_vlt_timing.vlt
t_vlt_var_sc_biguint_bad.out Add `sc_biguint` pragma (#6712) 2025-11-20 17:08:59 -05:00
t_vlt_var_sc_biguint_bad.py Add `sc_biguint` pragma (#6712) 2025-11-20 17:08:59 -05:00
t_vlt_var_sc_biguint_bad.vlt Add `sc_biguint` pragma (#6712) 2025-11-20 17:08:59 -05:00
t_vlt_var_spec_bad.out Add `-param`/`-port` options to `public_flat*` control directives (#6685) 2025-11-13 06:59:02 -05:00
t_vlt_var_spec_bad.py Add `-param`/`-port` options to `public_flat*` control directives (#6685) 2025-11-13 06:59:02 -05:00
t_vlt_var_spec_bad.vlt Add `-param`/`-port` options to `public_flat*` control directives (#6685) 2025-11-13 06:59:02 -05:00
t_vlt_warn.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_vlt_warn.v
t_vlt_warn.vlt
t_vlt_warn_bad.out
t_vlt_warn_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_vlt_warn_bad.vlt
t_vlt_warn_ecode_bad.out
t_vlt_warn_ecode_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_vlt_warn_ecode_bad.vlt
t_vlt_warn_file_bad.out
t_vlt_warn_file_bad.py
t_vlt_warn_file_bad.v
t_vlt_warn_file_bad.vlt
t_vlt_warn_file_bad_b.vh
t_vpi_cb_iter.cpp Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
t_vpi_cb_iter.py
t_vpi_cb_iter.v
t_vpi_const_type.cpp Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
t_vpi_const_type.py
t_vpi_const_type.v
t_vpi_dump.cpp Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
t_vpi_dump.iv.out
t_vpi_dump.out
t_vpi_dump.py
t_vpi_dump.v
t_vpi_dump_missing_scopes.iv.out
t_vpi_dump_missing_scopes.out
t_vpi_dump_missing_scopes.py
t_vpi_dump_missing_scopes.v
t_vpi_dump_no_inline.py
t_vpi_escape.cpp Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
t_vpi_escape.py
t_vpi_escape.v
t_vpi_escape.vlt
t_vpi_finish.py
t_vpi_finish.v
t_vpi_finish_c.cpp
t_vpi_get.cpp Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
t_vpi_get.py
t_vpi_get.v
t_vpi_get_public_rw_switch.py
t_vpi_get_value_array.cpp Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
t_vpi_get_value_array.py
t_vpi_get_value_array.v
t_vpi_hierarchy_clear.cpp Fix stale pointers in VerilatedImpData::m_hierMap (#6726) 2025-12-07 15:42:29 -05:00
t_vpi_hierarchy_clear.py Fix stale pointers in VerilatedImpData::m_hierMap (#6726) 2025-12-07 15:42:29 -05:00
t_vpi_hierarchy_clear.v Fix stale pointers in VerilatedImpData::m_hierMap (#6726) 2025-12-07 15:42:29 -05:00
t_vpi_memory.cpp Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
t_vpi_memory.py
t_vpi_memory.v
t_vpi_module.cpp Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
t_vpi_module.py
t_vpi_module.v
t_vpi_module_dpi.py
t_vpi_module_empty.cpp Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
t_vpi_module_empty.py
t_vpi_module_empty.v
t_vpi_multidim.cpp Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
t_vpi_multidim.py
t_vpi_multidim.v
t_vpi_onetime_cbs.cpp Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
t_vpi_onetime_cbs.py
t_vpi_onetime_cbs.v
t_vpi_package.cpp Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
t_vpi_package.py
t_vpi_package.v
t_vpi_param.cpp Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
t_vpi_param.py
t_vpi_param.v
t_vpi_public_depth.cpp Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
t_vpi_public_depth.py
t_vpi_public_depth.v
t_vpi_public_depth_off.py
t_vpi_public_depthn.v
t_vpi_public_depthn_1.out Optimize run-time symbol table construction of public variables (#6663) 2025-11-08 13:29:44 +00:00
t_vpi_public_depthn_1.py
t_vpi_public_depthn_2.out Optimize run-time symbol table construction of public variables (#6663) 2025-11-08 13:29:44 +00:00
t_vpi_public_depthn_2.py
t_vpi_public_depthn_3.out Optimize run-time symbol table construction of public variables (#6663) 2025-11-08 13:29:44 +00:00
t_vpi_public_depthn_3.py
t_vpi_public_off.py
t_vpi_public_params.py
t_vpi_public_params.v
t_vpi_put_value_array.cpp Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
t_vpi_put_value_array.py
t_vpi_put_value_array.v
t_vpi_release_dup_bad.py
t_vpi_release_dup_bad.v
t_vpi_release_dup_bad_c.cpp
t_vpi_repetitive_cbs.cpp Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
t_vpi_repetitive_cbs.py
t_vpi_repetitive_cbs.v
t_vpi_sc.cpp
t_vpi_sc.py
t_vpi_sc.v
t_vpi_stop_bad.out
t_vpi_stop_bad.py
t_vpi_stop_bad.v
t_vpi_stop_bad_c.cpp
t_vpi_time_cb.cpp
t_vpi_time_cb.py
t_vpi_time_cb.v
t_vpi_time_cb_c.cpp Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
t_vpi_unimpl.cpp Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
t_vpi_unimpl.py
t_vpi_unimpl.v
t_vpi_var.cpp Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
t_vpi_var.py
t_vpi_var.v
t_vpi_var2.py
t_vpi_var2.v
t_vpi_var3.py
t_vpi_var3.v
t_vpi_zero_time_cb.cpp Tests: Fix t_vpi_hierarchy_clear on macOS (#6793) 2025-12-11 15:23:03 +00:00
t_vpi_zero_time_cb.py
t_vpi_zero_time_cb.v
t_vthread.py
t_wait.out
t_wait.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_wait.v
t_wait_const.py
t_wait_const.v
t_wait_fork.py
t_wait_fork.v
t_wait_no_triggered_bad.out
t_wait_no_triggered_bad.py Change `--lint-only` and `--json-only` to imply `--timing` (#6790). 2025-12-17 19:24:43 -05:00
t_wait_no_triggered_bad.v
t_wait_order.out
t_wait_order.py
t_wait_order.v
t_wait_timing.py
t_waiveroutput.out
t_waiveroutput.py
t_waiveroutput.v
t_waiveroutput.vlt
t_waiveroutput_allgood.out
t_waiveroutput_allgood.py
t_waiveroutput_multiline.out
t_waiveroutput_multiline.py
t_waiveroutput_roundtrip.py
t_waiveroutput_roundtrip.v
t_while_cond_is_stmt.py
t_while_cond_is_stmt.v
t_while_finish.py
t_while_finish.v
t_while_timing_control.py
t_while_timing_control.v
t_wide_temp_while_cond.cpp
t_wide_temp_while_cond.py
t_wide_temp_while_cond.v
t_wire_beh1364_bad.out
t_wire_beh1364_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_wire_beh1364_bad.v
t_wire_beh1800_bad.out
t_wire_beh1800_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_wire_beh1800_bad.v
t_wire_behp1364_bad.out
t_wire_behp1364_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_wire_behp1364_bad.v
t_wire_behp1800_bad.out
t_wire_behp1800_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_wire_behp1800_bad.v
t_wire_self_bad.out
t_wire_self_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_wire_self_bad.v
t_wire_trireg_unsup.out
t_wire_trireg_unsup.py
t_wire_trireg_unsup.v
t_wire_types.py
t_wire_types.v
t_wired_net_test.py
t_wired_net_test.v
t_with.py
t_with.v
t_with_suggest_bad.out
t_with_suggest_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_with_suggest_bad.v
t_wrapper_clone.cpp
t_wrapper_clone.out
t_wrapper_clone.py
t_wrapper_clone.v
t_wrapper_context.cpp
t_wrapper_context.py
t_wrapper_context.v Tests: Fix trace file name in t_wrapper_context_fst 2025-10-23 16:25:27 +01:00
t_wrapper_context__top0.dat.out Tests: Fix trace file name in t_wrapper_context_fst 2025-10-23 16:25:27 +01:00
t_wrapper_context__top1.dat.out Tests: Fix trace file name in t_wrapper_context_fst 2025-10-23 16:25:27 +01:00
t_wrapper_context__trace0.vcd.out
t_wrapper_context__trace1.vcd.out
t_wrapper_context_fst.py Tests: Fix trace file name in t_wrapper_context_fst 2025-10-23 16:25:27 +01:00
t_wrapper_context_seq.py
t_wrapper_del_context_bad.cpp
t_wrapper_del_context_bad.out
t_wrapper_del_context_bad.py
t_wrapper_del_context_bad.v
t_wrapper_legacy.cpp
t_wrapper_legacy.py
t_wrapper_legacy.v
t_wrapper_legacy_time64.py
t_wrapper_legacy_timed.py
t_wrapper_reuse_context_bad.cpp
t_wrapper_reuse_context_bad.out
t_wrapper_reuse_context_bad.py
t_wrapper_reuse_context_bad.v
t_x_assign.cpp
t_x_assign.v
t_x_assign_0.py
t_x_assign_1.py
t_x_assign_unique_0.py
t_x_assign_unique_1.py
t_x_rand_mt_stability.out
t_x_rand_mt_stability.py
t_x_rand_mt_stability_add.out
t_x_rand_mt_stability_add.py
t_x_rand_mt_stability_add_trace.out
t_x_rand_mt_stability_add_trace.py
t_x_rand_mt_stability_trace.out
t_x_rand_mt_stability_trace.py
t_x_rand_mt_stability_zeros.out
t_x_rand_mt_stability_zeros.py
t_x_rand_stability.out
t_x_rand_stability.py
t_x_rand_stability.v
t_x_rand_stability_add.out
t_x_rand_stability_add.py
t_x_rand_stability_add_trace.out
t_x_rand_stability_add_trace.py
t_x_rand_stability_trace.out
t_x_rand_stability_trace.py
t_x_rand_stability_zeros.out
t_x_rand_stability_zeros.py
t_xml_begin_hier.out
t_xml_begin_hier.py
t_xml_begin_hier.v
t_xml_debugcheck.out Fix multitop cross references (#6699). 2025-11-26 06:09:29 -05:00
t_xml_debugcheck.py
t_xml_deprecated_bad.out
t_xml_deprecated_bad.py Tests: Imply --lint-only with test.lint() 2025-12-10 19:52:51 -05:00
t_xml_first.out
t_xml_first.py
t_xml_first.v
t_xml_flat.out
t_xml_flat.py
t_xml_flat_no_inline_mod.out
t_xml_flat_no_inline_mod.py
t_xml_flat_no_inline_mod.v
t_xml_flat_pub_mod.out
t_xml_flat_pub_mod.py
t_xml_flat_pub_mod.v
t_xml_flat_vlvbound.out
t_xml_flat_vlvbound.py
t_xml_flat_vlvbound.v
t_xml_output.out Fix multitop cross references (#6699). 2025-11-26 06:09:29 -05:00
t_xml_output.py
t_xml_output.v
t_xml_tag.out
t_xml_tag.py
t_xml_tag.v
vltest_bootstrap.py