Wilson Snyder
c8849094fc
Fix spacing of last commit.
2019-05-14 22:05:37 -04:00
Wilson Snyder
afea6d84e3
Mark infrequently called functions with GCC cold attribute.
2019-05-14 22:03:50 -04:00
Wilson Snyder
1fb0af7fba
Internals: Fix some -Wsuggest-attribute=const suggestions.
2019-05-14 21:46:19 -04:00
Wilson Snyder
8ad1a68420
Fix OSX compile issue with -Winvalid-noreturn, bug1440.
2019-05-14 20:51:28 -04:00
Todd Strader
d0fbdfac07
Add --quiet-exit, bug1436.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2019-05-14 06:47:51 -04:00
Wilson Snyder
7777d10e9b
Tests: Check for and remove trailing newlines
2019-05-13 19:47:52 -04:00
Wilson Snyder
07e6bc17db
Fix error message showing pointer
2019-05-13 19:31:00 -04:00
Wilson Snyder
1f714c6813
Commentary: Spelling fixes.
2019-05-11 18:42:27 -04:00
Todd Strader
eac3458647
Internals: V3Number tracks node information, part of bug1305.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2019-05-09 20:03:19 -04:00
Wilson Snyder
b23fc06388
Internals: Detab and fix spacing style issues in some include files. No functional change.
2019-05-07 23:30:24 -04:00
Wilson Snyder
f818ddc71c
Internals: Detab and fix spacing style issues in tests and scripts. No functional change.
2019-05-07 22:34:09 -04:00
Wilson Snyder
37c8cc82b2
Auto-extend and WIDTH warn on unsized X/Zs, bug1423.
2019-05-07 21:57:38 -04:00
Wilson Snyder
03ebd5554f
Fix table compile error with multiinterfaces, bug1431.
2019-05-06 19:33:54 -04:00
Wilson Snyder
c6650f88e1
Internals: Remove some uses of AstConst taking V3Number. No functional change intended.
2019-05-03 21:21:18 -04:00
Wilson Snyder
55a25674a2
Add --trace-fst-thread.
2019-05-02 20:33:05 -04:00
Wilson Snyder
1ff55c20e0
Support "'dx" constants, bug1423.
2019-05-01 20:02:28 -04:00
Wilson Snyder
3acb85a005
Fix FST enums not displaying, bug1426.
2019-05-01 19:18:45 -04:00
Wilson Snyder
08d041cb93
Add error when use parameters without value, bug1424.
2019-04-30 19:16:41 -04:00
Wilson Snyder
2582a83376
Unsupported error on select of concatenation
2019-03-13 19:52:23 -04:00
Wilson Snyder
0094cd7a81
Internals: Spacing fixes. No functional change.
2019-03-13 19:47:47 -04:00
Wilson Snyder
d9b33d74a4
Support void' cast on functions called as tasks, bug1383.
2019-03-10 15:12:20 -04:00
Wilson Snyder
539a773ea7
Add IGNOREDRETURN warning.
2019-03-10 14:57:01 -04:00
Wilson Snyder
b1831d7e33
Fix +1364-1995ext flags applying too late, bug1384.
2019-03-10 14:09:22 -04:00
Wilson Snyder
0eb75a41bb
Fix perl 5.38 warning.
2019-03-10 12:14:02 -04:00
Wilson Snyder
7bf3366041
Support .
2019-03-07 20:56:53 -05:00
Wilson Snyder
455c552132
Fix MSVC compile error, bug1406.
2019-03-04 20:29:01 -05:00
Wilson Snyder
ab3c6576ed
Report PORTSHORT errors on concat constants, bug 1400.
2019-02-27 21:06:07 -05:00
Wilson Snyder
8a43f41ed6
Fix $value$plus$args missing verilated_heavy.h.
2019-02-15 18:33:52 -05:00
Wilson Snyder
46be6d32c9
Add unsupported for loops error, msg2692.
2019-01-22 19:25:00 -05:00
Wilson Snyder
60f2f176a2
Fix DPI export void compiler error, bug1391.
2019-01-16 00:38:42 -05:00
Wilson Snyder
3a51e84ebc
For XML, fix extends, bug1372.
2019-01-13 20:59:15 -05:00
Wilson Snyder
a2a09253a2
Internals: Show static funcs.
2019-01-12 09:43:24 -05:00
Wilson Snyder
ef884143d1
Add circular typedef error, bug1388.
2019-01-12 09:33:57 -05:00
Wilson Snyder
62a7d713a7
Fix internal error on xrefs into unrolled functions, bug1387.
2019-01-06 17:38:27 -05:00
Wilson Snyder
aaf5b7c2c0
Fix uninitialized data in unroller, bug1386. [Al Grant]
2019-01-06 16:56:56 -05:00
Wilson Snyder
30aa180211
Internals: Use pushDelete for empty unrolls. Theoretical problem only.
2019-01-05 06:53:26 -05:00
Wilson Snyder
0d4270c979
Turn off temporary debug message.
2019-01-05 06:01:22 -05:00
Wilson Snyder
3505486ec8
Internals: Spacing; fix assertion
2019-01-05 05:46:37 -05:00
Wilson Snyder
0e1f8db0d1
Fix uninitialized data in verFiles.dat, bug1385.
2019-01-05 04:58:14 -05:00
Wilson Snyder
8a4aeddbb0
Copyright year update.
2019-01-03 19:17:22 -05:00
Wilson Snyder
e8636f987f
Fix missing too many digits warning, bug1380.
2019-01-03 19:03:27 -05:00
Wilson Snyder
0198a2e9f3
Fix error when no modules in , bug1381.
2019-01-02 18:38:49 -05:00
Wilson Snyder
db92ab47ba
For --xml, add additional information, bug1372.
2019-01-02 07:16:37 -05:00
Wilson Snyder
e01c9df35e
Fix error when pattern assignment has too few elements, bug1378.
2018-12-18 20:41:14 -05:00
Wilson Snyder
89fb57e54f
For --xml, add additional information, bug1372.
2018-12-12 22:12:31 -05:00
Wilson Snyder
8a3e9748b3
For --xml, add additional information, bug1372.
2018-12-10 19:11:35 -05:00
Wilson Snyder
66b23be746
For --xml, add additional modport information, bug1372.
2018-12-10 07:25:44 -05:00
Wilson Snyder
f0cdae129e
Removed --trace-lxt2, use --trace-fst instead.
2018-12-06 19:06:20 -05:00
Wilson Snyder
ede7236945
For --xml, add additional var information, bug1372.
2018-12-06 07:12:39 -05:00
Wilson Snyder
49edcbc2ac
Internals: Misc cleanup. No functional change.
2018-12-06 06:56:39 -05:00
Wilson Snyder
940dc98c66
Add CONTASSREG error on continuous assignments to regs, bug1369.
2018-12-01 10:12:10 -05:00
Wilson Snyder
49353784fd
Fix __Slow files getting compiled with OPT_FAST, bug1370.
2018-11-29 20:35:21 -05:00
Wilson Snyder
61e4b0a472
Add IMPORTSTAR warning on import::* inside scope.
2018-11-28 18:25:34 -05:00
Wilson Snyder
15af706286
Fix crash due to cygwin bug in getline, bug1349.
2018-11-26 19:09:08 -05:00
Wilson Snyder
5cc11839b5
Add PROCASSWIRE error on behavioral assignments to wires, msg2737.
2018-11-26 17:58:18 -05:00
Wilson Snyder
e0b2c46664
Internals: Function return values act as vars, not wires.
2018-11-25 19:50:53 -05:00
Wilson Snyder
ea61559ab5
Internals: Cleaner name for var. No functional change.
2018-11-25 19:10:18 -05:00
Wilson Snyder
6a5a2a56d7
Internals: Favor AstNetlist for global thread errors, and allow 0 line number error suppression.
2018-11-16 20:49:17 -05:00
Wilson Snyder
64f11251b6
Fix verilator_coverage not sorting output
2018-11-01 21:39:37 -04:00
Wilson Snyder
d396c55e34
In --xml-only show module_files and cells ala Verilog-Perl vhier, msg2716.
2018-11-01 19:53:26 -04:00
Wilson Snyder
45c9939a5e
Fix hang on bad pattern keys, bug1364.
2018-11-01 19:03:52 -04:00
Wilson Snyder
ad2929dff0
Support "ref" and "const ref" pins and functions, bug1360.
2018-10-30 20:50:09 -04:00
Wilson Snyder
14b48140bd
In --xml-only show the original unmodified names, msg2716.
2018-10-30 18:17:37 -04:00
Wilson Snyder
d464ce1477
Fix unneeded emit comment. No functional change.
2018-10-29 20:48:50 -04:00
Wilson Snyder
b8098098d8
Internals: Refactor input/output to new class in prep for ref support.
2018-10-27 17:29:00 -04:00
Wilson Snyder
06c7d8ce3b
Internals: Fix whitespace issues. No functional change, use -b to diff
2018-10-27 10:03:28 -04:00
Wilson Snyder
da1ebcb4e4
Add --pp-comments, msg2700.
2018-10-25 21:17:25 -04:00
Wilson Snyder
8dbfc940ba
Internals: Refactor comment parsing. No functional change intended.
2018-10-25 20:46:23 -04:00
Wilson Snyder
e0654dc218
Add --dump-defines.
2018-10-25 19:47:07 -04:00
Patrick Stewart
74a92e739f
Internals: Fix missing static. No functional change.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2018-10-24 19:40:07 -04:00
Wilson Snyder
304a24d03a
Internals: Fix many clang-tidy issues. No functional change intended.
2018-10-14 18:39:33 -04:00
Wilson Snyder
5ae1ce90ad
Internals: Refactor into cvtToHex function. No functional change.
2018-10-14 16:25:36 -04:00
Wilson Snyder
d87b9d25ca
Internals: Cleanup and standardize include order. No functional change intended.
2018-10-14 13:59:40 -04:00
Wilson Snyder
b7e4083e70
Internals: Cleanup temp string inserts for clang-tidy. No functional change.
2018-10-14 11:10:11 -04:00
Wilson Snyder
595419b370
Internals: Sort includes for clang-tidy. No functional change intended.
2018-10-14 07:04:18 -04:00
Wilson Snyder
442e4f35f0
Internals: Cleanup empty string constructors for clang-tidy. No functional change.
2018-10-13 23:06:36 -04:00
Wilson Snyder
e8b8b33ff6
Internals: Cleanup find with chars for clang-tidy. No functional change.
2018-10-13 22:28:59 -04:00
Wilson Snyder
e4d638c73d
Internals: Cleanup string casts. No functional change.
2018-10-13 22:02:39 -04:00
Wilson Snyder
b59c23d346
Move some unsupported syntax to parser for cleaner errors.
2018-10-11 21:57:07 -04:00
Wilson Snyder
08e650a2b4
Commentary
2018-10-11 21:00:29 -04:00
Wilson Snyder
97d89cce35
Move some unsupported syntax to parser for cleaner errors.
2018-10-08 22:18:09 -04:00
Wilson Snyder
cc45a3dd72
For --trace-fst, save enum decoding information, bug1358.
2018-10-08 07:21:22 -04:00
Wilson Snyder
41be66af95
Indent output C code '= {' blocks.
2018-10-07 21:23:45 -04:00
Wilson Snyder
1f344ac99e
Fix whitespace on trace function calls. Output changed, but no functional change.
2018-10-07 18:17:45 -04:00
Wilson Snyder
8b738c0022
Compute struct/union/enum names from surrounding typedefs.
2018-10-07 18:07:42 -04:00
Wilson Snyder
4cd01bc99a
Deprecate --trace-lxt2.
2018-10-06 14:13:38 -04:00
Wilson Snyder
1f5913a83c
Internals: V3Simulate refactoring prep for future work. No functional change.
2018-10-05 20:26:54 -04:00
Wilson Snyder
159c653b4b
Internals: V3Simulate refactoring prep for future work. No functional change.
2018-10-05 20:06:08 -04:00
Wilson Snyder
c5fee7c456
Fix flex warning
2018-10-04 20:51:20 -04:00
Wilson Snyder
d11592cadd
Support signal types in FST dumps, bug1358.
2018-10-04 20:24:41 -04:00
Wilson Snyder
8ef9ac7dba
Support in/out directions in FST dumps, bug1358.
2018-10-03 19:51:05 -04:00
Sergi Granell
a5aa0e2b0a
Add GTKWave FST native tracing, bug1356.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2018-10-02 18:42:53 -04:00
Wilson Snyder
c9ee425ed5
Fix replication of 64-bit signal change detects.
2018-09-28 08:36:37 -04:00
Wilson Snyder
9f8dbc91f2
Support restrict, bug1350.
2018-09-23 15:20:12 -04:00
Wilson Snyder
0e37747d2c
Support $past.
2018-09-23 15:20:01 -04:00
Wilson Snyder
a8519a7a53
Fix MinGW compile issues, msg2636.
2018-09-20 18:09:19 -04:00
Kevin Kiningham
b2ca4995ab
Fix Mac OSX 10.13.6 / LLVM 9.1 compile issues, bug1348.
2018-09-17 18:35:23 -04:00
Wilson Snyder
7876fe94ad
Fix Mac OSX 10.13.6 / LLVM 9.1 compile issues, bug1348.
2018-09-17 06:39:43 -04:00
Wilson Snyder
8c51b5a980
Docs: Add logo to PDF
2018-09-16 17:01:45 -04:00
Wilson Snyder
4f98f84da9
Fix duplicate symbol error on generate tri, bug1347.
2018-09-14 06:56:59 -04:00
Wilson Snyder
63a429dd64
pod2latex: Cleanup latex build in prep for logo
2018-09-13 20:06:10 -04:00
Wilson Snyder
9c3331927b
Fix man files misinstalling; cleanup mkinstalldirs
2018-09-13 19:09:35 -04:00
Wilson Snyder
3a6edae59d
Fix string ?: conditional type resolution, bug1345.
2018-09-12 19:20:15 -04:00
Wilson Snyder
75794e2eaa
Fix number parsing with newline after radix, bug1340.
2018-09-12 19:19:48 -04:00
Wilson Snyder
24efa6c19a
Fix compile error on tracing of string arrays, bug1338.
2018-09-08 01:16:07 -04:00
Wilson Snyder
ef5c31b4c9
Fix first clock edge and --x-initial-edge, bug1327.
2018-08-30 20:05:13 -04:00
johnjohnlin
acf4a3fa99
Add GTKWave LXT2 native tracing, bug1333.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2018-08-28 06:41:17 -04:00
Wilson Snyder
aaac5d4685
Support string.atoi and similar methods, bug1289.
2018-08-25 13:49:37 -04:00
Wilson Snyder
b02e353ad1
Internals: Fix VERILATOR_NO_OPT_BUILD, broken in recent commit.
2018-08-25 13:11:28 -04:00
Wilson Snyder
75f28fd446
Internals: Fix spacing of function calls. No functional change.
2018-08-25 09:52:45 -04:00
Wilson Snyder
f7b93c8718
Fix install test.
2018-08-25 07:55:48 -04:00
Wilson Snyder
789917cd06
Fix Ubuntu 18 warnings.
2018-08-24 18:47:38 -04:00
Wilson Snyder
8f838433df
Internals: Fix spacing and style of v4 changes. No functional change.
2018-08-23 05:22:34 -04:00
Wilson Snyder
4d034d774d
Merge from master
2018-08-22 17:58:02 -04:00
Wilson Snyder
4627716aa4
Remove extra space in generated func call.
2018-08-21 18:14:32 -04:00
Wilson Snyder
847dbbbaf0
Fix function inlining inside certain while loops, bug1330.
2018-08-21 18:09:40 -04:00
Wilson Snyder
aabb7394c3
Merge from master
2018-07-23 19:05:17 -04:00
Wilson Snyder
d90064eaee
Change MODDUP errors to warnings, msg2588.
2018-07-23 18:57:34 -04:00
Wilson Snyder
ec8dbbffed
MAJOR: Add multithreaded model generation.
2018-07-22 20:54:28 -04:00
Wilson Snyder
0070520edb
Fix cppcheck warnings.
2018-07-22 20:41:46 -04:00
Wilson Snyder
e97dbf9537
Add UNOPTTHREADS, for threads branch.
2018-07-22 12:09:27 -04:00
Wilson Snyder
8ec8c0ea76
Merge from master
2018-07-18 22:43:22 -04:00
Wilson Snyder
dfe66a2b77
Fix whitespace after functions in generated files.
2018-07-18 22:42:05 -04:00
Wilson Snyder
a18d6c8159
Merge from master
2018-07-18 21:34:38 -04:00
Wilson Snyder
a0b09b6450
Remove tabs inside generated files/quotes.
2018-07-18 21:25:21 -04:00
Wilson Snyder
ca24357611
Fix gcc 4.4.7 compile errors
2018-07-16 20:38:31 -04:00
Wilson Snyder
78c16081a4
Assume most branches in bounds, and use branchpred for instruction estimation.
2018-07-15 22:35:44 -04:00
Wilson Snyder
e37dce9d85
Internals: Add new graph algs for future partitioning.
2018-07-15 22:09:27 -04:00
Wilson Snyder
43694ec87c
Continued... Show file and line info when possible on internal graph errors.
2018-07-14 20:44:43 -04:00
Wilson Snyder
22939d7473
Merge from master
2018-07-14 19:27:51 -04:00
Wilson Snyder
9e3a88c41d
Inability to write a file is typically user, not internal error.
2018-07-14 19:22:50 -04:00
Wilson Snyder
cf4bf9b7a5
Show file and line info when possible on internal graph errors.
2018-07-14 18:45:06 -04:00
Wilson Snyder
d065662afc
Internals: Add new UASSERT. Currently unused. No functional change.
2018-07-14 17:44:55 -04:00
Wilson Snyder
70e2538436
Internals: V3InstrCount now shows critical path only for branch. Still not used in develop-v4.
2018-07-14 17:31:36 -04:00
Wilson Snyder
338ebcd6f0
Internal: Minor style cleanups for next merge. No functional change.
2018-07-14 17:27:05 -04:00
Wilson Snyder
ea8b416e91
Internals: Rename recent template. No functional change.
2018-07-09 21:32:10 -04:00
Wilson Snyder
de81593e98
Internals: Template GraphAlg to allow const. No functional change.
2018-07-09 19:15:46 -04:00
Wilson Snyder
84562f98de
Internals: Add GraphWay methods for future graph algs. No functional change.
2018-07-08 22:01:16 -04:00
Wilson Snyder
5520759d9e
Internals: Track dpi import wrapper creation. No functional change.
2018-07-07 08:02:29 -04:00
Wilson Snyder
81ef9b5dd2
Internals: Add V3InstrCount, for threads branch.
2018-07-04 21:52:15 -04:00
Wilson Snyder
f3ed36840e
Update error, bug1322.
2018-07-04 17:09:59 -04:00
Wilson Snyder
4cd0310516
Update error, bug1322.
2018-07-02 09:11:20 -04:00
Wilson Snyder
8b245138ee
Merge from master
2018-07-01 21:48:18 -04:00
Wilson Snyder
4f962bddd8
Fix std:: build error, bug1322.
2018-06-28 18:55:36 -04:00
Wilson Snyder
e8a23cf8bc
Fix to ignore Unicode UTF-8 BOM sequences, msg2576.
2018-06-26 17:57:57 -04:00
Wilson Snyder
39ecfd9900
Internals: rank() public for future optimizers.
2018-06-26 17:57:57 -04:00
Wilson Snyder
d4b9b5aba6
Fix to ignore Unicode UTF-8 BOM sequences, msg2576.
2018-06-26 07:11:56 -04:00
Wilson Snyder
b1bf742544
Internals: rank() public for future optimizers.
2018-06-24 18:47:08 -04:00
Wilson Snyder
ebab30d864
Fix new reloop misinserting Vars
2018-06-24 11:22:56 -04:00
Wilson Snyder
ad4c8ee955
Add new reloop optimization for repetitive assignment compression.
2018-06-23 17:07:22 -04:00
Wilson Snyder
2edfe7b8a8
Internals: Ordering internals realigned with thread branch.
2018-06-22 23:01:50 -04:00
Wilson Snyder
3444dad9ce
Internals: Refactoring in prep for next commit. No functional change.
2018-06-22 22:56:58 -04:00
Wilson Snyder
b8842f7e55
Fix clocker attributes to not propagate on concats.
2018-06-22 19:46:27 -04:00
Wilson Snyder
86d85412e1
Merge from master
2018-06-22 18:51:02 -04:00
Wilson Snyder
35a40b4930
Commentary
2018-06-22 06:35:27 -04:00
Wilson Snyder
c1f2b2cf93
*Ordering Change*: Separate initial and settle when ordering. From threads branch.
2018-06-21 23:12:11 -04:00
Wilson Snyder
011e9f3b0a
Internals: Reorder some functions in prep for threads. No functional change.
2018-06-21 23:08:56 -04:00
Wilson Snyder
dddc51b75c
Internals: Rename templated types to be T_*. Use Euler hashing.
2018-06-21 21:14:38 -04:00
Wilson Snyder
5187096bf9
Merge from master
2018-06-21 20:29:24 -04:00
Wilson Snyder
d225e46e4a
Fix define argument stringification, broke since 3.914.
2018-06-21 08:19:59 -04:00
Wilson Snyder
dcf946b437
Rework V3LifePost to be similar to threading algorithm's.
2018-06-20 23:44:58 -04:00
Wilson Snyder
2a5123f318
Internals: Spacing change in prep for LifePost rewrite from branch. No functional change.
2018-06-20 20:24:11 -04:00
Wilson Snyder
1fad055286
Internals: Add TSP solver, for future threads branch.
2018-06-17 21:06:41 -04:00
Wilson Snyder
4c7a397dc4
Merge from master
2018-06-16 18:05:26 -04:00
Wilson Snyder
047a08c999
Internals: Clean some UASSERTs. No functional change.
2018-06-16 18:00:21 -04:00
Wilson Snyder
1d74657bbb
Internals: Remove dead code
2018-06-16 17:59:57 -04:00
Wilson Snyder
7085fdbc27
Internals: Refactor var sorting, merge from threads branch.
2018-06-16 07:45:30 -04:00
Wilson Snyder
4c9c39bd08
Merge from master
2018-06-16 07:32:32 -04:00
Wilson Snyder
65bb93a6c5
Add OBJCACHE envvar support to examples and generated Makefiles.
2018-06-15 07:13:18 -04:00
Wilson Snyder
6e7f28785e
Internals: Cleanup graph includes. No functional change.
2018-06-15 06:54:03 -04:00
Wilson Snyder
efb2801eeb
Internals: Add orderPreRanked. No functional change.
2018-06-14 20:29:54 -04:00
Wilson Snyder
9f5a4c9b22
Fix cppcheck warnings. No functional change intended.
2018-06-14 19:04:52 -04:00
Wilson Snyder
7fc565a1bd
Merge from master
2018-06-14 19:04:36 -04:00
Wilson Snyder
0eb1d0a84e
Fix cppcheck warnings. No functional change intended.
2018-06-14 18:59:24 -04:00
Wilson Snyder
5d26bca55c
Internals: Remove unneeded returns on asserts.
2018-06-13 18:05:00 -04:00
Wilson Snyder
5988bba9fb
Merge from master
2018-06-12 21:25:26 -04:00
Wilson Snyder
1c5c9e2435
cppcheck fixes
2018-06-12 21:14:20 -04:00
Wilson Snyder
adfb903469
Merge from master
2018-06-12 05:23:13 -04:00
John Coiner
3e739db7fa
Fix linear searches. bug1316.
2018-06-11 22:05:45 -04:00
James Hutchinson
f0ed4346b2
Fix to be in verilog 2005, bug1319.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2018-06-08 08:01:22 -04:00
John Coiner
94c8064798
Fix linear search; this is part of the fix for issue 1316.
2018-06-07 12:43:19 -04:00
Wilson Snyder
e4a79d643d
Fix error without nodep.
2018-05-29 20:03:58 -04:00
Wilson Snyder
27c7d0c95b
Merge from master
2018-05-29 20:02:07 -04:00
Wilson Snyder
cf0464c263
Internals: Cleanup V3EmitC common code. No functional change. From threads branch.
2018-05-29 19:55:42 -04:00
Wilson Snyder
05a8a9ee28
Remove NULL checks of this, as upsets some compilers.
2018-05-26 08:28:19 -04:00
Wilson Snyder
c253b7769e
Merge from master
2018-05-20 09:14:30 -04:00
Wilson Snyder
0efe343494
Renamed --profile-cfuncs to --prof-cfuncs.
2018-05-20 09:12:29 -04:00
Wilson Snyder
3d49136e27
Merge from master
2018-05-19 09:32:15 -04:00
Wilson Snyder
b6e8133a4d
When tracing, use scalars on single bit arrays to appease vcddiff.
2018-05-19 07:52:07 -04:00
Wilson Snyder
cd4e6b35b3
Internals: Standardize debug() function generation. No functional change intended.
2018-05-14 06:50:47 -04:00
Wilson Snyder
9ba6fc9279
Merge from master
2018-05-13 19:39:30 -04:00
Wilson Snyder
2c568603f6
Fix latex warning & misc Commentary
2018-05-13 19:21:08 -04:00
Wilson Snyder
05db8ce6c8
Internals: Move iterators to AstNVisitor to avoid null this.
2018-05-10 20:55:37 -04:00
Wilson Snyder
51422e3ee8
Fix parsing error on bad missing #, bug1308.
2018-05-09 18:32:12 -04:00
Wilson Snyder
489f58011b
Merge from master
2018-05-08 21:43:55 -04:00
Wilson Snyder
0ef3c10931
Pull some thread include changes from thread branch.
2018-05-08 21:43:32 -04:00
Wilson Snyder
f02b99c709
Merge from master
2018-04-30 20:36:26 -04:00
Wilson Snyder
c8ba51581f
Commentary
2018-04-30 20:34:52 -04:00
John Coiner
542cf9b6e1
Remove errant assert from V3Split
2018-04-13 06:54:53 -04:00
Wilson Snyder
8b954dc381
Additional debug prints
2018-04-12 22:00:34 -04:00
Wilson Snyder
2f7002c5ec
Merge from master
2018-04-10 22:11:49 -04:00
John Coiner
767ac2547d
Misc clang warning fixes.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2018-04-10 22:05:55 -04:00
Todd Strader
9219ddaece
Report interface ports connected to wrong interface, bug1294.
2018-04-04 21:03:43 -04:00
Wilson Snyder
c7c99d8553
Fix parsing "output signed" in V2K port list, msg2540.
2018-03-29 20:10:27 -04:00
John Coiner
422c915c1d
Fix a nondeterminism issue in the new V3Split
2018-03-29 14:03:18 -04:00
Wilson Snyder
1f04d17e77
Merge from master
2018-03-17 12:03:08 -04:00
Wilson Snyder
3963fe4384
Fix GCC-8 compile error.
2018-03-17 11:33:47 -04:00
Wilson Snyder
e49539fb4c
Internals: Put cell assigns near cell. No functional change intended except statement order.
2018-03-17 08:43:19 -04:00
Wilson Snyder
02f18fc21b
Merge from master
2018-03-15 23:31:59 -04:00
Wilson Snyder
1bcaaa0f0d
Internals: Cleanup V3Inst unused argument. No functional change intended.
2018-03-15 23:19:43 -04:00
Wilson Snyder
7922a1de28
Internals: Misc cleanups related to V3LinkDot. No functional change intended.
2018-03-15 19:46:05 -04:00
John Coiner
f55040a38b
Fix severe runtime performance bug in certain foreach loops.
2018-03-15 08:59:52 -04:00
Wilson Snyder
2d580e6939
Support IEEE 1800-2017 as default language.
2018-03-12 22:26:34 -04:00
John Coiner
fc48008c1c
Support
2018-03-12 16:44:01 -04:00
Wilson Snyder
5652867316
Merge from master
2018-03-11 10:42:44 -04:00
Wilson Snyder
c8cf2afb15
Support assert properties, bug785, bug1290.
2018-03-11 10:37:20 -04:00
Wilson Snyder
d08a91b71e
Fix GCC lint complaint of calling NULL->cloneTree. No functional change intended.
2018-03-10 17:44:17 -05:00
Wilson Snyder
2c30aecc5b
Merge from master
2018-03-10 16:51:34 -05:00
Wilson Snyder
770045676f
Internals: Split some extremely long lines. No functional change.
2018-03-10 16:32:04 -05:00
Wilson Snyder
0a1b775ed6
Fix various small node leaks.
2018-03-10 14:10:41 -05:00
Wilson Snyder
54a101acbe
Internals: Fix -DLEAK_CHECKS failing due to visitor destructor being too late.
2018-03-10 12:57:50 -05:00
John Coiner
db1af07d72
On convergence errors, show activity.
2018-03-10 12:52:11 -05:00
John Coiner
ff82f75023
Add --no-debug-leak to reduce memory use under debug.
2018-03-10 12:18:19 -05:00
Wilson Snyder
22ff760f0b
Support calling system functions as tasks, bug1285.
2018-03-08 23:40:19 -05:00
Wilson Snyder
3dccd89c18
Fix missing include for gcc6.4
2018-03-08 21:46:20 -05:00
Tymoteusz Blazejczyk
9f52e23158
Fix verilator_coverage --annotate-min, bug1284.
2018-03-07 19:52:29 -05:00
Wilson Snyder
aed3307214
Enable GCC debug when in debug build
2018-03-03 20:43:14 -05:00
John Coiner
ef3c7bb6a2
Better optimize large always block splitting, bug1244.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2018-02-28 06:58:41 -05:00
John Coiner
0a887c29f1
Internals: Fix V3LifePost recording assignPre variables.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2018-02-28 06:57:08 -05:00
Wilson Snyder
e1410775fb
Fix CC warning with flex 2.5.35.
2018-02-28 06:34:03 -05:00
Wilson Snyder
f0ba17a19b
Merge from master.
2018-02-27 07:24:31 -05:00
John Coiner
a7a790416e
Internals: Fix passing bool as int. No functional change.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2018-02-27 07:16:16 -05:00
Patrick Stewart
fe5c4df079
Support trig functions (() etc), bug1281.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2018-02-26 04:25:07 -05:00
Wilson Snyder
1376e5de92
Fix pullup/pulldowns on bit selects, bug1274.
2018-02-10 19:08:07 -05:00
Wilson Snyder
7f5b1a402c
Internals: Part of last change, spacing.
2018-02-07 20:29:58 -05:00
Wilson Snyder
22573d238b
Internals: Fix GCC8 cppcheck warnings. No functional change.
2018-02-07 20:16:53 -05:00
Wilson Snyder
32859d9fc2
Fix GCC 8.0 issues
2018-02-07 18:58:21 -05:00
Wilson Snyder
597d28b505
Fix internals to make null-pointer-check clean. Also add more const's. No functional change intended, but likely something will break.
2018-02-01 21:32:58 -05:00
Wilson Snyder
94e8cf1de9
Internals: Use explicit std:: instead of using namespace std. No functional change intended.
2018-02-01 21:24:41 -05:00
Wilson Snyder
0ca0854cac
Fix compile error with --public and interface bind, bug1264.
2018-02-01 20:07:46 -05:00
Wilson Snyder
097107bd0b
Support 'assume' similar to 'assert', bug1269.
2018-01-31 07:33:10 -05:00
Wilson Snyder
b40b152b87
Fix missing edge type in xml output, msg2480.
2018-01-31 07:29:14 -05:00
Wilson Snyder
3c7fef68c0
Internals: Sort EmitXml visitors. No functional change.
2018-01-31 07:25:10 -05:00
Wilson Snyder
e3f137875b
Fix spacing of trace func decls. No functional change.
2018-01-29 19:04:37 -05:00
Wilson Snyder
71fcf45d73
Fix gate optimization out of memory, add --gate-stmts, bug1260.
2018-01-27 15:06:51 -05:00
Wilson Snyder
652b68a5a0
Fix compile error on public real parameters by suppressing, bug1261.
2018-01-24 22:30:30 -05:00
John Coiner
3e0401de1c
Misc harmless cleanups from thread branch.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2018-01-24 20:20:26 -05:00
Stefan Wallentowitz
c164024f38
Remove c++filt and fix status code of Makefile, bug1265
...
In the generated Makefile the linker output is piped through c++filt
which was very useful for older linkers. But unfortunately the status
code is lost during the piping. So when the make process is embedded
in a larger setup a failure will not manifest to the outside flow.
As modern linkers do the job of c++filt, this removes it from the
generated Makefile. It will also produce a proper status code then.
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2018-01-18 21:25:43 -05:00
Wilson Snyder
bd1f1e8699
Fix compile error on public real parameters by suppressing, bug1261.
2018-01-16 19:53:50 -05:00
Wilson Snyder
8e65d93d6d
Copyright year update. No functional change.
2018-01-02 18:05:06 -05:00
Wilson Snyder
4901668f13
Add INFINITELOOP warning, bug1254.
2017-12-26 21:35:08 -05:00
Wilson Snyder
49fe4d081c
Support DPI open arrays, bug909, bug1245.
2017-12-17 16:28:58 -05:00
Wilson Snyder
3054b36a8d
Internals: Avoid cleaning arrays in prep for openarrays.
2017-12-17 12:53:22 -05:00
Wilson Snyder
37a931feb4
Internals: Refactor some V3Task code in prep for openarrays. No functional change intended.
2017-12-16 15:46:21 -05:00
Wilson Snyder
bf3f111ca4
Internals: Move VLVF flags to common function. No functional change.
2017-12-16 10:07:15 -05:00
Wilson Snyder
9a2a5d3155
Internals: Unroll V3Width task processing, in prep for openarrays. No functional change intended.
2017-12-16 09:36:07 -05:00
Wilson Snyder
51787d68b9
Add error if always_comb has sensitivity list.
2017-12-13 19:49:37 -05:00
Wilson Snyder
33eb0db6f8
Fix resolving inline nested interface names, bug1250.
2017-12-13 19:42:49 -05:00
Wilson Snyder
a0b2727c59
Use power-of-two number of members to align structs
2017-12-11 19:16:49 -05:00
Wilson Snyder
d1b8f53711
Support DPI time and svLogicVal.
...
Note older version incorrectly assumed svBitVal even for logicals.
2017-12-09 20:17:37 -05:00
Wilson Snyder
ea91b10086
Internals: Add charIQWN. Proper capitalization.
2017-12-09 14:44:55 -05:00
Wilson Snyder
345657ab32
Workaround GCC/clang bug with huge compile times, bug1248.
2017-12-09 11:52:35 -05:00
Wilson Snyder
b11fa372b1
Fix constant propagation across DPI imports of inout strings.
2017-12-07 20:10:27 -05:00
Wilson Snyder
662ebece71
Support string len() method.
2017-12-07 19:57:11 -05:00
Wilson Snyder
6b6e8dc83e
Use VL_UNCOPYABLE in emitted code. No functional change intended.
2017-12-06 23:26:27 -05:00
Wilson Snyder
d215149c7c
Fix false ALWCOMBORDER on interface references, bug1247.
2017-12-06 21:29:10 -05:00
Wilson Snyder
895fc0911a
Support > 64 bit decimal $display.
2017-12-02 22:10:58 -05:00
John Coiner
f0217edef1
Internals: Keep a ptr to _eval in AstNetlist, make it easier to find.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2017-11-30 18:53:57 -05:00
Wilson Snyder
8f1798cc6f
Fix modport outputs being treated as inputs, bug1246.
2017-11-28 19:11:41 -05:00
John Coiner
631bda395d
Avoid duplicated scans of CFuncs.
...
Trace into non-entry-point functions (most of them) at their call
sites. We'll trace into entry-point functions (like eval) from their parent
scope. We must trace eval_ to reach the tree of calls beneath it.
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2017-11-28 18:38:19 -05:00
John Coiner
791d02a753
Internals: Remove dead code. No functional change.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2017-11-28 18:29:14 -05:00
Wilson Snyder
54b3f92951
Commentary
2017-11-28 18:21:43 -05:00
Wilson Snyder
45de0599d7
Commentary
2017-11-27 20:11:34 -05:00
John Coiner
331ecdc2e7
Commentary
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2017-11-27 19:23:55 -05:00
Wilson Snyder
38b0e8a777
Fix some clang-analyzer warnings
2017-11-25 15:41:49 -05:00
Wilson Snyder
ae9179f412
Fix partial slicing with pattern assignments, bug991.
2017-11-23 14:55:32 -05:00
Wilson Snyder
7369500bb7
Fix cppcheck warnings
2017-11-23 10:43:34 -05:00
Wilson Snyder
45702e319b
Support $size/$bits/etc on type references.
2017-11-23 10:17:56 -05:00
Wilson Snyder
813468bfe6
Work around bison 3.0 bug printing wrong debug token names
2017-11-23 09:50:15 -05:00
John Coiner
cb72390b57
Internals: Remove dead loop-related code in V3Order.cpp and V3OrderGraph.h
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2017-11-23 08:26:36 -05:00
John Coiner
71b2eeef67
Internals: V3GenClk should scan CFunc internals only at the CCall
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2017-11-23 08:21:20 -05:00
Wilson Snyder
57c8590b21
When resolving module names, only use defines if no other choice.
2017-11-22 18:44:19 -05:00
Wilson Snyder
f8ad0a0923
Fix false DECLFILENAME on recursive modules.
2017-11-21 22:01:28 -05:00
Wilson Snyder
7c443ab108
Fix error on "unique case" with no cases.
2017-11-21 21:52:37 -05:00
Wilson Snyder
0d645757e7
Less debug verbosity
2017-11-21 21:52:22 -05:00
Wilson Snyder
dd37c2ea86
Support $error/$warning in elaboration time blocks.
2017-11-21 21:10:42 -05:00
Wilson Snyder
d3032bfc21
Fix flex warning.
2017-11-21 21:10:14 -05:00
Wilson Snyder
bd6ecdfa6f
Fix mis-showing debug message.
2017-11-21 20:04:29 -05:00
Wilson Snyder
a579e9273b
Support self-recursive modules, bug659.
2017-11-18 17:42:35 -05:00
Wilson Snyder
21369bec95
Internals: Renames in prep for recursion. No functional change.
2017-11-18 17:40:10 -05:00
Wilson Snyder
d119d10569
Add BSSPACE and COLONPLUS lint warnings.
2017-11-15 20:19:12 -05:00
Wilson Snyder
38988c005c
Fix false unused warning on interfaces, bug1241.
2017-11-14 20:10:25 -05:00
Wilson Snyder
8cc4b588b2
Add error when driving input-only modport.
2017-11-14 19:50:31 -05:00
Wilson Snyder
12607abb33
Remove tabs from --xml output.
2017-11-13 18:24:18 -05:00
Wilson Snyder
562f17ea4b
Fix xml tags on typedefs.
2017-11-13 18:04:13 -05:00
Wilson Snyder
0aea9b7709
Update references to coverage.dat, msg2412.
2017-11-13 07:20:36 -05:00
Wilson Snyder
c0afe96b80
Fix addition of data types to --xml.
2017-11-09 18:04:16 -05:00
John Coiner
5c919cd0de
Fix null dereference crash in width process.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2017-11-09 07:43:30 -05:00
Wilson Snyder
f555c93c11
Add data types to --xml.
2017-11-08 21:27:15 -05:00
Wilson Snyder
cbb7cd16d0
Detect MSB overflow when under VL_DEBUG, bug1238.
2017-11-05 21:47:55 -05:00
John Coiner
6edaef9598
Internals: Fix debugging with null logicp() graphs.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2017-11-04 18:12:29 -04:00
John Coiner
f63e946f04
Internals: Add consts. No functional change.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2017-11-02 18:02:11 -04:00
Wilson Snyder
add5cc8b56
Internals: Add VL_UNCOPYABLE to make classes uncopyable. No functional change intended.
2017-11-01 18:51:41 -04:00
Wilson Snyder
eb87232472
Fix stats critical fast path calculation.
2017-10-30 22:38:47 -04:00
Wilson Snyder
52c3031a82
Internals: Rename selfTest, no functional change.
2017-10-30 19:01:58 -04:00
John Coiner
df471e87eb
Internals: Break unnecessary dependencies in V3Order.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2017-10-28 13:31:04 -04:00
Wilson Snyder
ec6fae5d1a
Internals: Add const to same() methods. No functional change.
2017-10-28 10:26:34 -04:00
Wilson Snyder
cb422a9a02
Internals: Number astgen from 1 as 0p looks like Op. No functional change.
2017-10-28 08:48:24 -04:00
Wilson Snyder
f91bac7b31
Rewrite include libraries to support VL_THREADED towards future threading
2017-10-26 21:51:51 -04:00
John Coiner
4e98d96755
Internals: Add const's. No functional change intended.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2017-10-26 18:42:50 -04:00
Wilson Snyder
c17c81254e
Internals: Use = delete via a macro for a bit of C++11 forward compatibility
2017-10-25 20:00:38 -04:00
Wilson Snyder
5ead61dc7b
Unify format of VL_DEBUG print messages
2017-10-24 22:56:58 -04:00
Wilson Snyder
32874fa848
Internals: Misc VCD code cleanups. No functional change.
2017-10-21 17:53:23 -04:00
Wilson Snyder
b90f383cfe
Add --threads option through to makefiles, unadvertised for now.
2017-10-21 16:41:43 -04:00
Wilson Snyder
eb65984368
Tests: Additional display merge tests. No functional change.
2017-10-21 13:50:31 -04:00
Wilson Snyder
f4b00d3c64
Call VL_PRINTF/vl_stop/vl_finish/vl_fatal through wrappers as hook for future MT use.
2017-10-19 19:40:51 -04:00
Wilson Snyder
cc0b780412
Internals: More use of unordered_map/set. No functional change intended.
2017-10-18 18:22:58 -04:00
Wilson Snyder
1372d62186
Remove duplicate class forward declarations.
2017-10-18 17:38:10 -04:00
Ahmed El-Mahmoudy
a69936de74
Spelling fixes
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2017-10-16 21:58:47 -04:00
Wilson Snyder
96f5f66c26
Move generated objects into bin/ to match --prefix installations
2017-10-14 16:09:02 -04:00
Wilson Snyder
bbed4d50ab
Fix clang and cppcheck warnings.
2017-10-14 14:51:57 -04:00
Wilson Snyder
4b7ee8267b
Slicing: Fix off-by-one error earlier this version.
2017-10-14 14:04:15 -04:00
Wilson Snyder
db8e8392fa
Fix MacOS portability, bug1230.
2017-10-14 09:00:39 -04:00
Wilson Snyder
5bc2f87d3e
Fix --skip-identical to handle closer timestamps looking at ctime.
2017-10-13 20:34:36 -04:00
Wilson Snyder
de35c90847
Fix float-conversion warning, bug1229.
2017-10-11 19:01:37 -04:00
Wilson Snyder
a1a8f17617
Internals: Add const's. No functional change
2017-10-10 20:24:10 -04:00
Wilson Snyder
12be852a43
Fix `` expansion of `defines, bug1227, bug1228.
2017-10-10 18:44:10 -04:00
Wilson Snyder
440fbef79b
Untabify examples. No functional change.
2017-10-10 07:18:01 -04:00
Wilson Snyder
e1f1c82d4e
Fix -E duplicating output, bug1226.
2017-10-09 21:08:50 -04:00
Wilson Snyder
3c1b82255e
Change VL_THREADED to use C++11, and compute and test related GCC flags automatically
2017-10-07 21:29:57 -04:00
Wilson Snyder
221e4ff6fe
Fix `` expansion of empty `defines, bug1225.
2017-10-07 14:09:33 -04:00
Wilson Snyder
fe80499f9c
Internals: Move preproc DEV expansion when in ifndef, cleanup prep for bug1225.
2017-10-07 14:09:31 -04:00
Wilson Snyder
d3d1f3ab60
Internals: Cleanup preproc in prep for bug1225. No functional change.
2017-10-07 14:09:17 -04:00
Chris Randall
264b888ef2
Add /*verilator tag*/ for XML extraction applications.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2017-10-06 07:33:52 -04:00
John Coiner
ba270e09a4
Add --no-relative-cfuncs and related default optimization, bug1224.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2017-10-05 18:18:11 -04:00
Wilson Snyder
8281ee1520
Optimize arrayed if assignments
2017-10-04 22:10:44 -04:00
Wilson Snyder
75aab4e9d2
Fix conditional slices and add related optimizations.
2017-10-04 21:27:34 -04:00
Wilson Snyder
b532a47e4a
Internals: Prep for V3Slice rewrite. No functional change intended.
2017-10-04 21:15:19 -04:00
Wilson Snyder
77a3d683e3
Fix unnecessary Vdly variables, bug1224 partial.
2017-10-03 21:26:42 -04:00
Wilson Snyder
c132d0e1fe
Fix extra duplicate multiclk blocks when dtypes differ
2017-10-02 22:58:34 -04:00
Wilson Snyder
1a6348f113
Optimize display+display statements.
2017-10-02 20:25:10 -04:00
Wilson Snyder
3d1012b73b
Allow disabling BLKLOOPINIT (Intentionally undocumented), part of last commit
2017-10-01 23:36:19 -04:00
Wilson Snyder
c98ad79e68
Allow disabling BLKLOOPINIT (Intentionally undocumented)
2017-10-01 23:23:02 -04:00
Wilson Snyder
82460b0da3
Commentary
2017-10-01 23:06:16 -04:00
Wilson Snyder
0bdc57a14a
Fix lost .tree debug file extensions in recent commit.
2017-10-01 22:17:37 -04:00
Wilson Snyder
33780a09df
Add --x-initial option
2017-10-01 21:31:40 -04:00
John Coiner
a9c9d5ca4b
Fix over-aggressive inlining, bug1223.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2017-10-01 18:02:58 -04:00
Wilson Snyder
ab07dbdb9d
Fix over-aggressive inlining, bug1223.
2017-10-01 18:00:27 -04:00
Wilson Snyder
6dd6750985
Remove empty _configure_coverage in emitted code.
2017-10-01 16:44:53 -04:00
Wilson Snyder
04ca6a4307
Fix compiler warning when WIDTH warning ignored on large compare.
2017-10-01 10:21:27 -04:00
John Coiner
c7cbe11ba4
Fix Ubuntu 17.10 issues, bug1223 partial.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2017-09-30 13:33:14 -04:00
Wilson Snyder
46e300b2c5
Add --getenv option.
2017-09-23 18:03:39 -04:00
Wilson Snyder
c693e52f6c
Fix false unused warning on line coverage objects
2017-09-23 18:00:44 -04:00
Wilson Snyder
c2e8062f84
Verilated headers no longer "use namespace std;"
2017-09-23 07:32:37 -04:00
Wilson Snyder
5d3fef21b0
Cleanup misc spacing and unneeded stuff in emitted headers.
2017-09-22 22:27:03 -04:00
Wilson Snyder
b64e1b4a49
Fix inverted sync/async message.
2017-09-22 18:57:53 -04:00
Wilson Snyder
89f414b185
Less verbosity for IEEE warnings in earlier commit this rev.
2017-09-21 22:07:49 -04:00
Wilson Snyder
47e13cfdf4
Optimize concat/replicate+replicate.
2017-09-21 21:05:42 -04:00
Wilson Snyder
48d3ce46d8
Commenary
2017-09-21 18:39:23 -04:00
Wilson Snyder
89c8449ec0
Support package export, bug1217.
2017-09-20 21:04:59 -04:00
Wilson Snyder
393b5d48b2
Better optimize Shift-And constructs.
2017-09-19 20:56:17 -04:00
Wilson Snyder
6006cdff2c
Fix wide array indices causing compile error.
2017-09-19 20:04:45 -04:00
Wilson Snyder
d6b10465f3
Improve more errors to note IEEE
2017-09-18 23:06:31 -04:00
Wilson Snyder
b3cf5c4f5f
Improve error to note common :: package errors
2017-09-18 22:54:54 -04:00
Wilson Snyder
3862f2f022
Note IEEE in 32 bit decimal oversized
2017-09-18 22:50:26 -04:00
Wilson Snyder
38ab22bf1d
Simplify VL_CONST_W macro generation for faster compiles.
2017-09-18 21:36:18 -04:00
Wilson Snyder
b06a329466
Fix GCC 4.4 false warning
2017-09-18 08:30:04 -04:00
Wilson Snyder
c5b24f3850
Internals: Remove dead code, bug1215.
2017-09-18 07:13:58 -04:00
Wilson Snyder
103b956581
Default to -O2 for Verilator itself, for 20%+
2017-09-17 23:25:20 -04:00
Wilson Snyder
63361fc56e
Add performance information to --stats file.
2017-09-17 22:52:57 -04:00
Wilson Snyder
1e9e334929
Internals: Name cleanup. No functional change.
2017-09-16 11:06:35 -04:00
Wilson Snyder
f30d71b0c5
Internals: Better prefetching for ~5%.
2017-09-16 07:55:48 -04:00
Wilson Snyder
fee4aba9ec
Internals: Fix GCC constant warnings.
2017-09-15 18:26:09 -04:00
Wilson Snyder
f1960273a0
Internals: Fix over-aggressive debug
2017-09-15 18:25:52 -04:00
Wilson Snyder
778564e63b
Compling: Turn on -Wextra when using --enable-ccwarn
2017-09-14 23:28:02 -04:00
Wilson Snyder
cf6a69da27
Internals: Fix some cast and fallthrough warnings. No functional change
2017-09-14 23:27:06 -04:00
Wilson Snyder
960b2ed023
Internals: Fix some shadow and other warnings. No functional change
2017-09-14 23:26:23 -04:00
Mike Popoloski
74420550e6
Fix .name connections on interfaces, bug1214.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2017-09-14 21:24:13 -04:00
Mike Popoloski
cb5887b376
Support module port parameters without defaults, bug 1213.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2017-09-14 21:20:20 -04:00
Wilson Snyder
41b40157d8
Fix non-colon array of interface modports, bug1212.
2017-09-14 21:15:56 -04:00
Wilson Snyder
b11b693c08
Fix constant function default parameters, bug1211.
2017-09-13 19:47:11 -04:00
Wilson Snyder
256eb4bba0
Support or/and/xor array intrinsic methods, bug1210.
2017-09-13 19:37:47 -04:00
Wilson Snyder
77804b4d38
Fix GCC noreturn compile error, bug1209.
2017-09-13 19:27:59 -04:00
Wilson Snyder
8c9ca7a1b3
Fix LITENDIAN warning on arrayed cells, bug1202.
2017-09-13 19:09:49 -04:00
Wilson Snyder
9d055f8c13
Fix ordering of arrayed cell wide connections, bug1202 partial.
2017-09-12 19:34:10 -04:00
Wilson Snyder
3dacd87dfb
Fix enum ranges without colons, bug1204.
2017-09-12 18:53:57 -04:00
Wilson Snyder
c28a6eef3b
Fix whitespace issues, bug1203.
2017-09-11 19:18:58 -04:00
Wilson Snyder
074689b5de
SystemPerl mode (-sp-deprecated) has been removed.
2017-09-07 21:08:49 -04:00
Wilson Snyder
39b787670b
Update keywords for C++11 etc, msg2325
2017-09-02 20:59:03 -04:00
Wilson Snyder
5f26b9ec66
Internals: Remove dead putAlign, bug1093.
2017-08-28 23:01:19 -04:00
Wilson Snyder
590ad964b1
Add assertion on bad formed lvalues, used to debug bug1187.
2017-08-13 18:08:44 -04:00
Wilson Snyder
d4595df8a4
Fix internal error on unconnected inouts, bug1187.
2017-08-13 18:08:24 -04:00
Wilson Snyder
7b642bcbb4
Support packed struct DPI imports, bug1190.
2017-08-11 19:07:47 -04:00
Wilson Snyder
88cf5e5d98
Fix realloc leak on out-of-memory
2017-07-12 18:54:27 -04:00
Wilson Snyder
70daadf987
Fix cpp-check warnings; support XML format 2
2017-07-06 20:25:59 -04:00
Wilson Snyder
9d5004db1c
Fix GCC 7 warnings.
2017-07-06 19:49:34 -04:00
Wilson Snyder
ea82bfcced
Fix GCC 6 warnings.
2017-07-06 19:07:23 -04:00
Wilson Snyder
c7a5ff5e82
Tests: Fix broken vgen test, commentary.
2017-06-20 19:10:18 -04:00
Wilson Snyder
abf2fcf820
Fix .* on interface pins, bug1176.
2017-06-20 18:40:18 -04:00
Wilson Snyder
644c22b08f
Fix extract of packed array with non-zero LSB, bug1172.
2017-06-06 20:06:23 -04:00
Wilson Snyder
c54024a5e6
Fix constant shifts by more than 32-bit numbers, bug1174 continued.
2017-06-05 21:15:31 -04:00
Wilson Snyder
97093fdf81
Fix power operator on wide constants, bug761.
2017-06-05 20:30:01 -04:00
Wilson Snyder
447d803800
Fix internal clang warnings.
2017-05-24 23:51:15 -04:00
Wilson Snyder
6b04901c4d
Fix testplusargs false width warning, bug1165.
2017-05-19 07:20:41 -04:00
Wilson Snyder
7fb29621c3
Fix interface functions returning wrong parameters, bug996.
2017-05-18 22:49:17 -04:00
Wilson Snyder
b032fce962
Support $value$plusargs with variables, bug1165.
2017-05-18 22:41:43 -04:00
Wilson Snyder
ce879122bb
Fix --assert with complex case statements, bug1164.
2017-05-17 20:15:40 -04:00
Todd Strader
2fa16708b7
Fix non-arrayed cells with interface arrays, bug1153.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2017-05-10 19:05:42 -04:00
Todd Strader
54bc8608e3
Add stack trace when can't optimize function, bug1158.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2017-05-09 18:54:15 -04:00
Todd Strader
706a7802cc
Fix non-cutable ordering loops on clock arrays, bug1009.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2017-05-09 08:05:21 -04:00
Wilson Snyder
9dc01cf540
Support arrayed parameter overrides, bug1153.
2017-05-02 19:16:54 -04:00
Wilson Snyder
8943ad5966
internals: Remove extra newlines on v3fatalSrc. No functional change.
2017-04-28 20:09:27 -04:00
Wilson Snyder
deb7a1c9c0
Fix non-arrayed cells with interface arrays, bug1153.
2017-04-28 20:03:38 -04:00
Wilson Snyder
96a5445d44
Support ports of array of reals, bug1154.
2017-04-28 06:10:14 -04:00
Wilson Snyder
d693065afb
Fix backwards array interface error message
2017-04-27 06:36:14 -04:00
Wilson Snyder
bdeee35669
Add warning on mis-sized literal, bug1156.
2017-04-26 18:34:01 -04:00
Wilson Snyder
c6a20a72f2
Turn off parser debug in optimized build, msg2203
2017-03-31 17:46:15 -04:00
Wilson Snyder
d7a54b3632
Fix nested structure parameter selects, bug1150.
2017-03-30 19:05:55 -04:00
Wilson Snyder
be6a3d0f10
Fix wreal not handling continuous assign, bug1150.
2017-03-30 18:32:37 -04:00
Wilson Snyder
e9125a3a22
Fix error on parameters with dotted references, bug1146.
2017-03-28 19:55:20 -04:00
Wilson Snyder
c27a60658f
Add error on duplicate pattern assignments, bug1145.
2017-03-23 18:15:03 -04:00
Wilson Snyder
8f2bc6e028
Patch to fix for bug1135.
2017-03-22 17:34:47 -04:00
Wilson Snyder
a6b78cbbee
Fix error on improperly widthed default function, bug984.
2017-03-21 19:27:42 -04:00
Wilson Snyder
182a7076fd
Fix missing error on interface size mismatch, bug1143.
2017-03-21 19:19:28 -04:00
Wilson Snyder
17a9b22dce
Fix cell ranges without colons.
2017-03-21 19:17:15 -04:00
Wilson Snyder
f190d12802
Internals: Avoid coredump debugging bug1135.
2017-03-21 18:22:32 -04:00
Wilson Snyder
b12dd526f9
Fix calling sformatf to display, and elab , bug1139.
2017-03-17 18:40:16 -04:00
Wilson Snyder
473d555dc1
Fix ugly interface-to-non-interface errors, bug1112.
2017-03-17 18:35:53 -04:00
Wilson Snyder
4aa088eb2f
Fix realpath compile issue on MSVC++, bug1141.
2017-03-15 20:08:19 -04:00
Wilson Snyder
8f8147d646
Fix internal error on interface arrays, bug1135.
2017-03-15 20:03:53 -04:00
Wilson Snyder
e637dd2390
Fix internal error on initializing parameter array, bug1131.
2017-03-06 07:20:30 -05:00
Wilson Snyder
c90960fc88
Fix LDFLAGS and CFLAGS not preserving order, bug1130.
2017-02-13 18:11:40 -05:00
Wilson Snyder
da86a1c9f2
Fix previous commit warning
2017-02-13 18:11:22 -05:00
Wilson Snyder
0adb789238
Add --relative-includes.
2017-02-09 18:33:18 -05:00
Wilson Snyder
15082a178b
Add -FI option to force includes,msg2146.
2017-02-09 07:44:36 -05:00
Wilson Snyder
ad3ea636d5
Fix 2009 localparam syntax, msg2139.
2017-01-26 22:41:32 -05:00
Wilson Snyder
e6d7e7e329
Version bump
2017-01-15 12:13:13 -05:00
Wilson Snyder
f942aba855
Support old-style (), bug467.
2017-01-09 19:19:21 -05:00
Wilson Snyder
2f34132275
Fix bad code when tracing array of structs, bug1122.
2017-01-06 18:44:37 -05:00
Wilson Snyder
663b2be065
Tests: bug1104, unsupported.
2016-12-21 21:00:40 -05:00
Wilson Snyder
a1e4d676c3
Fix parsing sensitivity with &&, bug934.
2016-12-21 18:23:14 -05:00
Wilson Snyder
6f28d21207
With --bbox-unsup, suppress desassign and mixed edges, bug1120.
2016-12-21 17:43:19 -05:00
Wilson Snyder
9b06310cbe
Fix internal error on unique casez with --assert, bug1117.
2016-12-03 14:49:51 -05:00
Wilson Snyder
77fe33e57f
Fix internal error on double-for loop unrolling, bug1044.
2016-11-29 20:40:58 -05:00
Wilson Snyder
2d0084308d
Internals: Convert AstNUser to non-pointer to avoid NULL call. No functional change intended.
2016-11-27 09:40:12 -05:00
Wilson Snyder
7efa40966a
Internals: Remove second argument to visitors. No functional change intended.
2016-11-27 08:11:38 -05:00
Wilson Snyder
6d644dc764
Internals: V3Width now iterates like others, replacing arg passing. No functional change intended.
2016-11-25 16:48:36 -05:00
Wilson Snyder
498f19a6a1
Use VERILATOR_INCLUDE in generated files to allow relocation.
2016-11-18 21:13:56 -05:00
Wilson Snyder
4078902543
Compiling: Fix flexfix adding new warning back in flex 2.5.35
2016-11-18 19:40:39 -05:00
Wilson Snyder
183cabc77d
Internals: Have cloning return node's type to avoid casts. No functional change.
2016-11-08 21:16:22 -05:00
Wilson Snyder
ad39931e87
Internals: Cleanup uses of accept in V3Width. No functional change.
2016-11-07 19:14:45 -05:00
Wilson Snyder
1ae22e1365
Internals: Cleanup some casts. No functional change.
2016-11-06 11:39:09 -05:00
Wilson Snyder
b748ddfe06
Fix flex 2.6.2 bug, bug1103.
2016-11-06 08:14:05 -05:00
Wilson Snyder
e52f5f1b63
Internals: Remove extraneous castNode() calls. No functional change.
2016-11-05 10:06:43 -04:00
Wilson Snyder
bda4b326ab
Internals: Use mixed case for AstType enums. No functional change.
2016-11-05 09:47:56 -04:00
Wilson Snyder
70ddf32719
Fix error on referencing variable in parent, bug1099.
2016-11-05 08:37:18 -04:00
Stefan Wallentowitz
3edba7b662
Fix type parameters with low optimization, bug1101.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2016-11-04 22:58:21 -04:00
Wilson Snyder
f74ef650ac
Honor --output-split on coverage constructors, bug1098.
2016-10-23 14:27:57 -04:00
Wilson Snyder
f093c3d78b
Fix error on bad interface name, bug1097.
2016-10-22 08:05:27 -04:00
Wilson Snyder
1e4c3751e1
Support foreach, bug1078.
2016-09-19 22:00:13 -04:00
Johan Bjork
15495bb200
Improve Verilation performance on trace duplicates, msg2017.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2016-09-19 17:54:42 -04:00
Wilson Snyder
999f278971
Fix concats with wide width, bug1088 continued.
2016-09-16 18:54:28 -04:00
Wilson Snyder
2117fe414e
Fix error on wide numbers that represent shifts, bug1088.
2016-09-14 20:27:20 -04:00
Wilson Snyder
7d8c51181d
With --no-decoration also shrink output code indents.
2016-09-13 22:53:09 -04:00
Wilson Snyder
c30211cb27
Add --no-decoration to remove output comments, msg2015.
2016-09-13 22:28:07 -04:00
Johan Bjork
901da118e5
Internals: Move prettyName invocation to after option checks in trace and coverage
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2016-09-12 21:52:40 -04:00
Wilson Snyder
f11757e43a
Fix error on wide shift, msg2005.
2016-09-12 18:31:45 -04:00
Wilson Snyder
3f143317a6
Add error on DPI functions > 32 bits, msg1995.
2016-09-10 08:14:17 -04:00
Wilson Snyder
45d7312dfc
Improve Verilation performance on internal strings, msg1975.
2016-09-08 22:04:14 -04:00
Wilson Snyder
26774eb045
Fix error on wide numbers that represent small msb/lsb, msg1991.
2016-09-08 21:30:35 -04:00
Wilson Snyder
cd61b1d045
Usage check.
2016-07-30 10:05:55 -04:00
Wilson Snyder
24dc36ba4c
Fix comparison of unpacked arrays, bug1071.
2016-07-23 16:58:30 -04:00
Wilson Snyder
e8e4f1777d
Internals: Add cloneType method. Unused - for next checkin.
2016-07-23 16:54:36 -04:00
Wilson Snyder
ae38a26af3
Internals: Cleanup some slice code. No functional change.
2016-07-21 23:07:22 -04:00
Wilson Snyder
891214fa72
Fix enum values of 11-16 bits wide using .next/.prev, bug1062.
2016-06-15 22:46:34 -04:00
Wilson Snyder
e819e285e2
Fix false warnings on non-power-2 enums using .next/.prev.
2016-06-15 20:13:52 -04:00
Wilson Snyder
2c9716c64c
Try 2. Fix core dump on Arch Linux/GCC 6.1.1, bug1058.
2016-05-16 21:40:49 -04:00
Johan Bjork
8c4aa8517e
Fix --output-split of constructors, bug1035.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2016-05-12 07:19:02 -04:00
Johan Bjork
c0b7a54bb9
Fix removal of empty packages, modules and cells, bug1034.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2016-05-11 21:44:55 -04:00
Wilson Snyder
6b32bb635d
Change --l2-name default to remove 'v' naming.
2016-05-07 14:10:33 -04:00
Wilson Snyder
691de22ae3
Add --l2-name option for controlling 'v' naming, bug1050.
2016-05-07 14:01:02 -04:00
Wilson Snyder
e64b2d3372
Internals: user#inc now has optional increment value.
2016-05-06 22:26:15 -04:00
Johan Bjork
e190af9d87
Avoid extra clean ANDs after CCalls.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2016-05-06 21:18:48 -04:00
Johan Bjork
8d14463b18
Fix emitting public enumerations.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2016-05-05 22:48:53 -04:00
Wilson Snyder
04977ef721
Internals: Show clocker in debug dumps. Part of bug1009.
2016-05-05 22:40:19 -04:00
Wilson Snyder
80678ae7bc
Fix clang 3.8.0 warnings.
2016-03-31 22:26:49 -04:00
Stefan Wallentowitz
482bdab0e0
Support command-line -G/+pvalue param overrides, bug1045.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2016-03-24 19:14:15 -04:00
Stefan Wallentowitz
b2623b9841
Internals: Add success parameter to parseDouble. No functional change intended.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2016-03-23 07:48:32 -04:00
Wilson Snyder
9ae40d64f0
Support parameter type, bug376.
2016-03-14 21:51:31 -04:00
Wilson Snyder
cef097b7b7
Internals: Refactoring prep for parameter type branch. No functional change intended.
2016-03-12 20:54:52 -05:00
Wilson Snyder
90ecf14a0a
Fix pattern assignment width propagation, bug1037.
2016-02-21 23:00:21 -05:00
Wilson Snyder
4945282369
Fix slicing mix of big and little-endian, bug1033.
2016-02-09 22:16:12 -05:00
Wilson Snyder
a509b6a21c
Internals: Fix compares to null, ongoing part of bug1030. No functional change intended.
2016-02-08 22:15:44 -05:00
Wilson Snyder
46229473cb
Fix crash on very deep function trees, bug1028.
2016-02-04 20:47:55 -05:00
Johan Bjork
65be2448dc
Fix elaboration-time display warnings, bug1032.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2016-02-04 18:38:59 -05:00
Johan Bjork
be74806044
Fix read-after-free error detected by valgrind, bug1031.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2016-02-04 18:34:08 -05:00
Wilson Snyder
b488666c1e
Fix cppcheck 1.71 issues. No functional change intended.
2016-02-04 18:30:21 -05:00
Wilson Snyder
d1acaea611
Internals: Fix compares to null, ongoing part of bug1030. No functional change intended.
2016-02-03 20:44:31 -05:00
Wilson Snyder
db6e5ce7cf
Internals: Misc code cleanups. No functional change.
2016-02-03 20:43:05 -05:00
Wilson Snyder
d56179df17
Internals: Fix compares to null, part of bug1030. No functional change intended.
2016-02-02 21:02:00 -05:00
Wilson Snyder
850100c9c2
Fix compares to null, part of bug1030.
2016-02-02 19:35:44 -05:00
Wilson Snyder
0725999f0a
flexfix: Match Verilog-perl fix for new gcc.
2016-02-02 19:32:17 -05:00
Johan Bjork
cb2d8259f2
Fix stats and debug print, bug1029
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2016-01-28 22:20:31 -05:00
Johan Bjork
61a1f3d817
Support inlining interfaces, bug1018.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2016-01-21 19:11:53 -05:00
Johan Bjork
63f111b7f3
Fix unrolling complicated for-loop bounds, bug677.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2016-01-21 19:00:19 -05:00
Wilson Snyder
e061eb3003
Fix using short parameter names on negative params, bug1022.
2016-01-20 22:09:11 -05:00
Johan Bjork
29daa58222
Fix stats file containing multiple unroll entries, bug1020.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2016-01-06 20:53:48 -05:00
Johan Bjork
340cc02171
Avoid dynamic_cast for classes with no children, bug1021.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2016-01-06 20:47:44 -05:00
Wilson Snyder
b738d1960a
Copyright year update
2016-01-06 20:36:41 -05:00
Wilson Snyder
afc432042f
Fix ternary operation with unpacked array, bug1017.
2015-12-18 18:02:25 -05:00
Wilson Snyder
21cb29baf0
Fix arrayed instances to unpacked of same size, bug1015. Fix slices of unpacked arrays with non-zero LSBs.
2015-12-15 22:37:49 -05:00
Wilson Snyder
849c1e46a2
Fix constant propagation, bug1012.
2015-12-08 22:22:42 -05:00
Wilson Snyder
ebad6cde36
Fix error instead of warning on large concat, msg1768.
2015-12-08 21:25:43 -05:00
Johan Bjork
f920b3945e
Fix dotted generated array error, bug1005.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2015-12-05 19:58:58 -05:00
Todd Strader
5e54d3e41a
Fix interface inside generate, bug1001, bug1003.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2015-12-05 19:39:40 -05:00
Wilson Snyder
f0af8726e3
Internals: Fix some spacing. No functional change.
2015-12-05 17:12:03 -05:00
Todd Strader
57f2fe77ea
Fix cell [#] to mean # cells.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2015-12-01 18:23:11 -05:00
Wilson Snyder
d39ce17dea
Makefiles: Turn off make built-in suffixes.
2015-11-28 17:33:01 -05:00
Johan Bjork
373a68ec8b
Fix dtype indexing into arrays, bug1007.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2015-11-24 21:34:07 -05:00
Johan Bjork
5613758ee3
Fix array slicing of non-const indexes, bug1006.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2015-11-24 21:28:04 -05:00
Johan Bjork
2102f86909
Fix genvar constant propagation, bug1003.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2015-11-22 21:16:13 -05:00
Johan Bjork
9edd28d2ed
Fix genvar constant propagation from package, bug1003.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2015-11-22 20:46:26 -05:00
Wilson Snyder
52ae451f5c
Fix interface inside generate, bug998.
2015-11-14 09:06:09 -05:00
Wilson Snyder
9254443cd4
Fix casts under generates, bug999.
2015-11-12 22:29:42 -05:00
Johan Bjork
4e4bc7b90f
Fix constant function assigned to packed structs, bug997.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2015-11-11 20:49:45 -05:00
Johan Bjork
c7e0f2e196
Fix function calls on arrayed interface, bug994.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2015-11-11 20:40:24 -05:00
Wilson Snyder
acabaab6ac
Internals: Avoid tristate coredump.
2015-11-11 20:37:52 -05:00
Wilson Snyder
b0a249f338
Fix display %u, %v, %p, %z, bug989.
2015-11-10 21:12:15 -05:00
Wilson Snyder
0cb5d5cc5a
Internals: Upgrade some C strings to C++
2015-11-10 18:59:48 -05:00
Johan Bjork
0081ce4a75
Fix size-changing cast on packed struct, bug993.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2015-11-06 19:12:17 -05:00
Wilson Snyder
c72ee41142
Add z to param values to avoid conflicts.
2015-11-05 07:00:04 -05:00
Wilson Snyder
bf5dee955d
Fix real parameters causing bad module names, bug992.
2015-11-04 22:01:21 -05:00
Wilson Snyder
faf5e1de51
Internals: Remove some unneeded c_str() calls. No functional change.
2015-10-29 22:19:51 -04:00
Wilson Snyder
fa63bc6b78
Fix error message on missing interface, bug985.
2015-10-29 21:44:02 -04:00
Jamey Hicks
49108c23f0
Support with non-format arguments, bug467.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2015-10-27 20:58:31 -04:00
Wilson Snyder
4475060268
Ignore %l in , bug983.
2015-10-27 20:37:52 -04:00
Wilson Snyder
4f269e336d
With --bbox-ignore, don't warn about always order.
2015-10-24 07:24:53 -04:00
Wilson Snyder
4464b13163
Fix dot indexing into arrayed inferfaces, bug978.
2015-10-23 23:06:24 -04:00
Wilson Snyder
215d5f68b0
Fix struct.enum.name method calls, bug855.
2015-10-23 22:57:15 -04:00
Wilson Snyder
281f4e04ee
Fix $fwrite to constant stderr/stdout, bug961.
2015-10-23 21:53:16 -04:00
Wilson Snyder
17a3f9691d
Fix package:scope.scope variable references.
2015-10-23 21:03:35 -04:00
Wilson Snyder
4fde6ee7af
Support elaboration assertions, bug973.
2015-10-23 18:13:25 -04:00
Wilson Snyder
040b1b06d5
Support genvar indexes into arrayed cells, bug517.
2015-10-22 20:13:49 -04:00
Wilson Snyder
cc22847bc3
For --xml, decrease block indent to 2 spaces.
2015-10-20 21:22:00 -04:00
Johan Bjork
3702e17b2e
Backout bug978 fix as is incomplete.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2015-10-10 07:54:45 -04:00
Wilson Snyder
7abc220fb3
Fix C++ 2014 compile warnings. No functional change.
2015-10-04 13:41:45 -04:00
Wilson Snyder
4fc9a906f6
Internals: Fix cppcheck warnings; add VL_DANGLING. No functional change.
2015-10-04 13:16:35 -04:00
Wilson Snyder
5de83c9805
Internals: Fix cppcheck warnings. No functional change.
2015-10-04 13:11:32 -04:00
Johan Bjork
f71d904a9b
Fix internal error on interface array, bug978.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2015-10-04 11:17:58 -04:00
Wilson Snyder
318ded4198
Internals: Cleanup cppcheck warnings.
2015-10-03 22:33:06 -04:00
Wilson Snyder
f1874b211f
Support , bug977.
2015-10-03 07:12:56 -04:00
Wilson Snyder
c60ffd7fd9
Fix enum constant propagation, bug970.
2015-10-01 21:15:01 -04:00
Todd Strader
dc57282168
Internal: Fix setBit from earlier commit, bug971.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2015-09-30 07:02:39 -04:00
Todd Strader
3c336e179f
Fix structure parameter constant propagation, bug968.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2015-09-29 21:02:33 -04:00
Todd Strader
6bda57da5d
Internals: Fix setBit not clearing X mask.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2015-09-29 20:59:17 -04:00
Wilson Snyder
9a16001e51
Add --vpi flag, and fix VPI linkage, bug969.
2015-09-25 22:57:28 -04:00
Wilson Snyder
d0653f72e2
Fix very long module names, bug937.
2015-09-19 20:12:35 -04:00
Wilson Snyder
64748b7b1d
Internals: Replace FNV hashes with SHA1
2015-09-19 18:49:54 -04:00
Wilson Snyder
7163c8d048
Fix internal error on dotted refs into generates, bug958.
2015-09-18 20:57:27 -04:00
Wilson Snyder
d0ec991bb2
Fix mis-optimizing public DPI functions, bug963.
2015-09-18 19:06:15 -04:00
Wilson Snyder
a950e4816d
Support extraction of enum bits, bug951.
2015-08-12 21:33:40 -04:00
Wilson Snyder
c87c66efb1
Fix size casts as second argument of cast item, bug950.
2015-08-12 19:37:25 -04:00
Jie Xu
5a5a0006fe
Fix parameters with function parameter arguments, bug952.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2015-08-12 19:29:06 -04:00
Wilson Snyder
882913f0ca
Add tracing_on, etc to vlt files, bug932.
2015-07-22 20:14:58 -04:00
Wilson Snyder
2062f7e97b
Fix hashed error with typedef inside block, bug948.
2015-07-22 19:52:11 -04:00
Wilson Snyder
06d5a7b2bf
Internals: Allow hashing nulls; misc cleanup
2015-07-06 19:37:20 -04:00
Wilson Snyder
5af8a8aa4c
Internals: Hash nulls
2015-07-05 20:31:30 -04:00
Wilson Snyder
00759f777e
Fix dpi imports inside generates.
2015-06-16 19:27:18 -04:00
Wilson Snyder
7578ef889f
Fix .c files to be treated as .cpp, bug930.
2015-06-09 07:28:51 -04:00
Wilson Snyder
da254af8d5
Fix MinGW compiler error, bug929.
2015-06-08 07:24:04 -04:00
Wilson Snyder
9e61b9f696
Fix width propagation on sized casts, bug925.
2015-06-06 13:43:14 -04:00
Wilson Snyder
491539ff32
Fix MSVC++ compiler error, bug927.
2015-06-04 19:37:03 -04:00
Wilson Snyder
b66e1bdb9e
Fix Ubuntu 15.04 compile warning.
2015-05-15 20:03:29 -04:00
Wilson Snyder
7312de6bc2
Fix sign extension in large localparams, bug910.
2015-05-14 21:46:07 -04:00
Wilson Snyder
5a747bad7d
Fix width extension on mis-width ports, bug918.
2015-05-13 20:59:13 -04:00
Wilson Snyder
9542783a7e
Internals: Refactor V3Inst static class. No functional change.
2015-05-13 20:56:16 -04:00
Wilson Snyder
a59639413d
Fix part-select in constant function, bug916.
2015-05-09 14:01:54 -04:00
Wilson Snyder
d5eb92a071
Fix preprocessing stringified newline escapes, bug915.
2015-05-07 21:41:54 -04:00
Wilson Snyder
49fa65c0dd
Match Verilog-Perl: Fix Preproc loop under Perl-Tk, bug913.
2015-04-28 15:54:34 -04:00
Wilson Snyder
49123f169c
Fix core dump in sync-async warnings, bug911.
2015-04-27 06:47:29 -04:00
Wilson Snyder
78a321925f
Add Parser useProtected argument to aid runtime, Verilog-Perl bug899.
2015-04-05 10:54:56 -04:00
Wilson Snyder
c0df07c86f
Commentary: Update contributor list
2015-03-13 07:38:17 -04:00
Wilson Snyder
e918d945f2
Fix order of C style arrays.
2015-03-13 07:06:06 -04:00
Wilson Snyder
0ee5743853
Add --dump-treei-<srcfile>, bug894.
2015-03-12 19:48:04 -04:00
Wilson Snyder
486d69da5f
Add --clk and related optimizations, msg1533.
2015-03-12 19:20:46 -04:00
Wilson Snyder
751384cb5c
Fix compile error on MinGW, bug887.
2015-02-26 20:40:45 -05:00
Wilson Snyder
6ac672b4a3
Fix SystemC arrayed bit vectors, bug886.
2015-02-25 21:09:55 -05:00
Wilson Snyder
a89502be9f
Fix comma-separated instantiations with parameters, bug884.
2015-02-22 11:41:10 -05:00
Wilson Snyder
052a7e3deb
Fix sign extension of pattern members, bug882.
2015-02-12 07:47:45 -05:00
Wilson Snyder
27ccaffb37
Fix mis-optimizing gate assignments in unopt blocks, bug881.
2015-02-11 19:36:34 -05:00
Wilson Snyder
32a76c5255
Fix clang warnings.
2015-02-10 22:38:05 -05:00
Wilson Snyder
099f797975
Fix slice connections of arrays to ports, bug880.
2015-02-10 20:24:21 -05:00
Wilson Snyder
8323092a0c
Fix cppcheck warnings. No functional change.
2015-02-09 21:05:27 -05:00
Wilson Snyder
e5af46d3fb
Add warning on slice selection out of bounds, bug875.
2015-01-25 16:32:46 -05:00
Wilson Snyder
a6743588b6
Fix UNOPTFLAT change detect on multidim arrays, bug872.
2015-01-21 20:43:21 -05:00
Wilson Snyder
90cbcd2dfd
Fix non-ANSI modport instantiations, bug868.
2015-01-17 15:35:45 -05:00
Wilson Snyder
4c91ade61d
Copyright year update
2015-01-07 18:25:53 -05:00
Wilson Snyder
f2a17b9b70
Fix $sccanf from string, bug866.
2014-12-24 21:50:38 -05:00
Wilson Snyder
b71b9ccb57
Fix member select error broke in 3.868, bug867.
2014-12-24 19:27:46 -05:00
Wilson Snyder
8b0af19351
Support cast operator with expression size, bug865.
2014-12-23 22:11:31 -05:00
Wilson Snyder
9f7c473376
Suppress COMBDLY when inside always_latch, bug854.
2014-12-23 21:42:33 -05:00
Wilson Snyder
1a3378e0f5
Add --stats-vars, bug851.
2014-12-20 08:28:31 -05:00
Wilson Snyder
5c3eee34a1
Fix underscores in real literals, bug863.
2014-12-19 18:14:32 -05:00
Wilson Snyder
2b5017e610
Fix tracing SystemC signals with structures, bug858. Remove SC tracing of wrapper.
2014-12-10 22:33:28 -05:00
Wilson Snyder
e755c7fdcc
Internals: Rename to avoid off-by-one
2014-11-29 08:56:07 -05:00
Wilson Snyder
8d463b33cd
Fix enum name off-by-one, bug854.
2014-11-29 08:47:03 -05:00
Wilson Snyder
a118921b21
Fix bare generates in interfaces, bug789.
2014-11-28 21:32:57 -05:00
Wilson Snyder
c1593f856d
Support enum.first/name and similar methods, bug848.
2014-11-28 20:34:23 -05:00
Wilson Snyder
93f1d7643d
Fix duplicate Vdimtables and rename
2014-11-28 20:25:58 -05:00
Wilson Snyder
ce4dfb4296
Fix misc string handling issues.
2014-11-28 20:24:42 -05:00
Wilson Snyder
2e5f5010f7
Internals: Make V3Width dimensionValue more generic. No functional change.
2014-11-28 15:51:35 -05:00
Wilson Snyder
43be4cf2b5
Add 'string' printing and comparisons, bug746, bug747, etc.
2014-11-28 15:01:50 -05:00
Wilson Snyder
8b457b9b66
Internal: Rename string functions. No functional change.
2014-11-28 13:50:37 -05:00
Wilson Snyder
25efee2e62
Fix loss of data types in V3Premit. From strings branch.
2014-11-28 10:43:05 -05:00
Wilson Snyder
e002b0e25f
Fix new inlining to be less aggressive
2014-11-27 12:04:16 -05:00
Wilson Snyder
68c6f0ff07
Inline C functions that are used only once, msg1525.
2014-11-27 10:52:38 -05:00
Wilson Snyder
9837b40330
Remove SystemPerl tests
2014-11-27 08:30:54 -05:00
Jeremy Bennett
cb645c9a74
Add missing header.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2014-11-26 16:46:16 -05:00
Wilson Snyder
87573a9fef
Part of earlier commit - no SystemPerl now for coverage.
2014-11-24 18:37:55 -05:00
Wilson Snyder
d33ad7600b
Commentary. Cleanup stale SystemPerl references.
2014-11-23 22:00:00 -05:00
Wilson Snyder
9ec35a2348
New verilator_coverage and infrastructure to replace SystemPerl's vcoverage.
2014-11-23 21:06:10 -05:00
Wilson Snyder
6da13c6486
Internals: Split V3Error into V3FileLine. No functional change.
2014-11-22 11:48:39 -05:00
Wilson Snyder
87a47a5ca0
Remove PSL support
2014-11-22 10:14:14 -05:00
Wilson Snyder
c682f1c16a
Commentary and internal cleanups.
2014-11-22 09:04:14 -05:00
Wilson Snyder
6d66fcaa57
Fix +define+A+B to define A and B to match other simulators, bug847.
2014-11-13 19:05:07 -05:00
Wilson Snyder
26e79ca889
Fix quoted comment slashes in defines, bug845.
2014-11-12 16:37:51 -05:00
Wilson Snyder
62eb247c1e
Optimize SUB/ADD together.
2014-11-09 18:33:54 -05:00
Wilson Snyder
c5fd583b2c
Fix select when partially out-of-bound, bug823.
2014-11-09 18:29:52 -05:00
Wilson Snyder
117db3e11c
Trace_off now operates on cells, bug826.
2014-11-08 14:15:10 -05:00
Wilson Snyder
3f82fd2f37
Add public enums, bug833.
2014-11-07 07:50:11 -05:00
Wilson Snyder
e9c46afcf7
Fix public parameters in unused packages, bug804.
2014-11-06 17:53:01 -05:00
Jie Xu
7ef84df852
Add optimization of wires from arrayed cells, msg1447.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2014-11-05 21:09:35 -05:00
Jie Xu
4e2884b509
Optimize e.g. {(b<<4)[7:4], (b<<4)[3:0]}. From assignmerge tree.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2014-11-05 20:58:36 -05:00
Wilson Snyder
03100020ab
Fix not tracing modules following primitives, bug837.
2014-11-04 07:49:03 -05:00
Wilson Snyder
8bfb5cc5e9
Internals: Add sameGateTree.
2014-11-02 19:52:49 -05:00
Wilson Snyder
cf6d07aafa
Add optimization of operators between concats, msg1447.
2014-10-22 21:44:41 -04:00
Wilson Snyder
bfbca34eb0
Fix cast-to-size context-determined sizing, bug828.
2014-10-17 19:01:04 -04:00
Wilson Snyder
b73edc0564
Fix generate unrolling with function call, bug830.
2014-10-15 21:29:37 -04:00
Wilson Snyder
c86fec5307
Fix string formats under deep expressions, bug820.
2014-09-21 08:52:09 -04:00
Wilson Snyder
6e476255ca
Support power operator with real, bug809.
2014-09-21 08:24:44 -04:00
Wilson Snyder
27af9b6b06
Fix clang warnings, bug818.
2014-09-11 21:28:53 -04:00
Wilson Snyder
87b9cb49ad
Fix / multi-argument ordering, bug816.
2014-09-04 22:25:19 -04:00
Wilson Snyder
b6a39db627
Fix optional parameter keyword in module #(), bug810.
2014-08-27 07:57:20 -04:00
Wilson Snyder
3a83b06572
Fix over-shift structure optimization error, bug803.
2014-07-28 07:31:01 -04:00
Wilson Snyder
fe5bf01b25
Fix dpiGetContext in dotted scopes, bug740.
2014-07-21 20:55:52 -04:00
Wilson Snyder
5559ec903b
Fix error when tracing public parameters, bug722.
2014-07-21 20:44:33 -04:00
Wilson Snyder
18750028b2
Fix mis-optimization of bit-swap in wide signal, bug800.
2014-07-04 09:13:59 -04:00
Wilson Snyder
894ff73c7d
Internals: Fix clang warning, bug791.
2014-06-19 18:02:42 -04:00
Wilson Snyder
8031f0ed7f
Fix duplicate anonymous structures in , bug788.
2014-06-15 11:18:47 -04:00
Wilson Snyder
e26ab67e25
Report tristate errors closer to code which created them.
2014-06-10 19:58:51 -04:00
Wilson Snyder
e77b7427b4
Fix shift corner-case, bug 774.
2014-06-10 19:13:55 -04:00
Wilson Snyder
475e4207cc
Fix late constant division by zero giving X error, bug775.
2014-06-09 22:27:04 -04:00
Wilson Snyder
71b2eed32c
Fix false name conflict on cells in generate blocks, bug749.
2014-06-09 22:00:45 -04:00
Wilson Snyder
6cf50e6579
Fix string corruption, bug780.
2014-06-08 21:36:18 -04:00
Wilson Snyder
69468708e2
Fix DETECTARRAY error on packed arrays, bug770.
2014-06-07 09:53:40 -04:00
Wilson Snyder
5da5678e64
Fix pattern assignment to conditionals, bug769.
2014-06-06 21:52:16 -04:00
Wilson Snyder
a428e7f618
Fix pattern assignment to arrayed basic type, bug769.
2014-06-06 21:14:44 -04:00
Wilson Snyder
0eb5a0a539
Add -P to suppress `line and blanks with preprocessing, bug781.
2014-06-06 20:22:20 -04:00
Wilson Snyder
a1c1ff9981
Fix seg-fault with variable of parameterized interface, bug692.
2014-05-28 07:33:40 -04:00
Wilson Snyder
2029ade18c
Change SYMRSVDWORD to print as warning rather than error.
2014-05-28 07:24:02 -04:00
Wilson Snyder
5f8f474c0c
Fix shift with XOR mis-optimization, bug776.
2014-05-26 18:16:52 -04:00
Wilson Snyder
f705f9b275
Fix C compiler interpreting signing, bug773.
2014-05-24 08:00:01 -04:00
Wilson Snyder
91e706ec1f
Fix X shift issue, bug772.
2014-05-24 07:05:23 -04:00
Wilson Snyder
06744b664a
Fix huge shifts to zero with -Wno-WIDTH, bug768.
2014-05-16 07:09:43 -04:00
Wilson Snyder
d3049d9c89
Fix huge shifts to zero with -Wno-WIDTH, bug766.
2014-05-15 21:49:43 -04:00
Wilson Snyder
1f2abb9c0f
Fix gate primitives with arrays and non-arrayed pins.
2014-05-15 20:57:09 -04:00
Wilson Snyder
9f0d7e50a5
Using command line -Wno-{WARNING} now overrides file-local lint_on.
2014-05-15 20:54:45 -04:00
Wilson Snyder
5f262a8f11
Fix ENDLABEL warnings on escaped identifiers.
2014-05-15 20:52:22 -04:00
Wilson Snyder
f62bc6a2e5
Support SV 2012 package import before port list.
2014-05-15 20:50:42 -04:00
Wilson Snyder
d7e4bc1379
Fix huge shifts to zero with -Wno-WIDTH, bug765.
2014-05-13 08:10:59 -04:00
Wilson Snyder
58fd602bbd
Fix flex warning
2014-05-11 09:36:39 -04:00
Wilson Snyder
f8f53df4ec
Fix X/Z extension with WIDTH param mismatch, bug764.
2014-05-10 21:38:36 -04:00
Wilson Snyder
56b85cc63c
Suppress WIDTH warnings on 'x = 1<<a'
2014-05-10 17:19:57 -04:00
Wilson Snyder
90aca97e66
Internals: Flip sense of warnOn. No functional change intended.
2014-05-10 17:12:04 -04:00
Wilson Snyder
6ce2a52c5f
Fix shift-right optmiization, bug763.
2014-05-10 16:38:20 -04:00
Wilson Snyder
1f56312132
Fix -Wno-UNOPTFLAT change detection with 64-bits, bug762.
2014-05-10 12:40:35 -04:00
Wilson Snyder
3aa290cddb
Add error on power > 64-bits, bug761.
2014-05-10 08:24:51 -04:00
Wilson Snyder
266ff41386
For --cdc, don't show data types in dump file.
2014-05-10 07:50:04 -04:00
Wilson Snyder
02331e5536
Fix begin_keywords 1800+VAMS, msg1211.
2014-05-08 07:15:44 -04:00
Wilson Snyder
621c51589a
Fix shift by x, bug760.
2014-05-04 08:50:44 -04:00
Wilson Snyder
4a58e859a4
Fix concats with no argments mis-sign extending, bug759.
2014-05-03 20:20:15 -04:00
Wilson Snyder
a985a1f9f5
Fix >>> sign extension based on expression, bug754.
2014-05-03 09:25:12 -04:00
Wilson Snyder
d532a36739
Fix change detection error on unions, bug758.
2014-05-02 08:14:23 -04:00
Wilson Snyder
b631b5927b
Fix shift width extension, broke recent commit, bug754.
2014-04-30 22:47:01 -04:00
Wilson Snyder
adb39ceb98
Internals: cppcheck clean and add cppcheck_filtered
2014-04-29 22:59:38 -04:00
Wilson Snyder
aaea68d3d6
Rewrite V3Width for better spec adherence when -Wno-WIDTH.
2014-04-29 22:01:50 -04:00
Wilson Snyder
2accba2e71
Update WIDTH warning message formats to match future commit.
2014-04-29 21:11:57 -04:00
Wilson Snyder
8f4f4eb5ae
Fix coredump on undriven vector[-1].
2014-04-29 21:09:44 -04:00
Wilson Snyder
60c2d136e1
Internals: V3Width renames. Fix CASEEQ signing.
2014-04-26 16:52:09 -04:00
Wilson Snyder
b0f4cf3c9c
Support {} in always sensitivity lists, bug745.
2014-04-21 19:39:28 -04:00
Wilson Snyder
c41dfcf6ad
Fix assertions broken from bug725, bug743.
2014-04-16 22:33:25 -04:00
Wilson Snyder
2e10555f03
Fix tracing of packed arrays without --trace-structs, bug742.
2014-04-15 20:20:45 -04:00
Wilson Snyder
6b2ee0fcf3
Fix reporting struct members as reserved words, bug741.
2014-04-15 19:35:44 -04:00
Wilson Snyder
0dbdbffba7
Fix double I/O port warnings.
2014-04-15 18:50:04 -04:00
Wilson Snyder
9c5dd8d767
Fix RHEL5.6 compile warnings.
2014-04-15 18:18:36 -04:00
Glen Gibb
fff0ebb5f3
Internals: Add AstReplicate dtype init.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2014-04-10 17:54:52 -04:00
Glen Gibb
d34275150c
Support streaming operators, bug649.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2014-04-09 20:29:35 -04:00
Wilson Snyder
d04eb977c2
Fix mis-extending red xor/xand operators.
2014-04-09 07:58:46 -04:00
Wilson Snyder
fb4928b2f5
Fix power calculation; setAllOnes should not set hidden state bits in V3Number.
2014-04-08 20:28:16 -04:00
Wilson Snyder
5c39420d91
Re-fix bug729 due to bug733; other internal sign extension cleanups too.
2014-04-07 21:34:00 -04:00
Wilson Snyder
14fcfd8a40
Fix signed extension problem with -Wno-WIDTH, bug729.
2014-04-05 15:52:05 -04:00
Wilson Snyder
ff19dd94f9
Fix power operator calculation, bug730.
2014-04-05 15:44:49 -04:00
Wilson Snyder
b6913ff9b3
With high c-splits, even split blank functions.
2014-04-05 12:41:00 -04:00
Wilson Snyder
6cf6d9f7e1
Fix modport function import not-found error.
2014-04-03 21:53:39 -04:00
Wilson Snyder
28e35a64ea
Support parameter arrays, bug683.
2014-04-01 23:16:16 -04:00
Wilson Snyder
091818483a
Order initial statements based on variables used. Merge from bug683 branch.
2014-04-01 22:01:25 -04:00
Wilson Snyder
3b43556c41
Internals: Remove dead NEW_ORDERING code.
2014-03-31 20:29:35 -04:00
Wilson Snyder
ed39c66715
Internals: Make const iterator to fix missed-edits on dump. Merge from bug683 branch.
2014-03-31 20:24:05 -04:00
Wilson Snyder
446b0e4e5e
Support '{} assignment pattern on arrays, bug355.
2014-03-30 20:41:20 -04:00
Wilson Snyder
6e3e8318d0
Internals: Add dtype to InitArray; misc Slice cleanups. From bug355 branch.
2014-03-30 20:28:51 -04:00
Wilson Snyder
17b8b660f0
Internals: Fix assignment pattern replication. From bug355 branch.
2014-03-30 10:20:12 -04:00
Wilson Snyder
40bceea68a
Fix missing coverage line on else-if, bug727.
2014-03-29 11:04:13 -04:00
Wilson Snyder
a3813f94fc
Add PINCONNECTEMPTY warning.
2014-03-27 21:36:52 -04:00
Holger Waechtler
9caffe330b
Fix Mac OS-X test issues.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2014-03-24 20:19:43 -04:00
Wilson Snyder
8d8c5da812
Add assertions on 'unique if', bug725.
2014-03-16 21:38:29 -04:00
Wilson Snyder
55bb766c15
Fix escaped newline in assertion failures.
2014-03-16 20:57:15 -04:00
Wilson Snyder
c18df68ead
Fix C++-2011 warnings.
2014-03-15 14:50:03 -04:00
Wilson Snyder
3996e94c39
Fix Bison 4.0 warnings. From Verilog-Perl.
2014-03-15 14:23:19 -04:00
Wilson Snyder
1bdf017f9e
PSL is no longer supported, please use System Verilog assertions.
2014-03-14 21:14:24 -04:00
Wilson Snyder
93790c1dc6
Fix tracing of package variables and real arrays.
2014-03-14 20:36:47 -04:00
Wilson Snyder
ba8c11b25d
Fix scope creating extra vars for package variables. See next trace commit for test.
2014-03-14 20:24:21 -04:00
Wilson Snyder
ca57edfa0b
Fix assignment temporaries not using real types.
2014-03-14 20:22:06 -04:00
Wilson Snyder
c9ed9e74f2
Add --no-trace-params.
2014-03-13 20:08:43 -04:00
Wilson Snyder
85c5765c00
Fix parsing "#0 'b0", bug256.
2014-03-11 19:07:58 -04:00
Wilson Snyder
9e76078939
Fix signed shift right optimization, bug715.
2014-03-09 21:28:28 -04:00
Wilson Snyder
334e0e1ca6
Internals: Debug message.
2014-03-09 21:22:01 -04:00
Wilson Snyder
dce4519995
Optimizer: Put constants on left side of compares to match other AstBiOps and extend optimizations. No runtime result change intended.
2014-03-09 17:13:37 -04:00
Wilson Snyder
45bbae80e7
Add parameters into trace files, bug706.
2014-03-08 15:36:04 -05:00
Wilson Snyder
2560ae9bc1
Fix slice extraction from packed array, bug717.
2014-03-08 14:55:05 -05:00
Wilson Snyder
2bdd3ca353
Internals: Refactoring V3WidthSel. No functional change.
2014-03-08 14:41:11 -05:00
Wilson Snyder
8a8aab1aae
Internals: Shrink AstSelPlus code. No functional change.
2014-03-08 14:17:39 -05:00
Wilson Snyder
85d790ff79
Fix inside statement EQWILD error, bug718.
2014-03-08 13:33:44 -05:00
Wilson Snyder
68afc96a9f
Fix internal error on "input x =" syntax error, bug716.
2014-03-08 12:26:34 -05:00
Wilson Snyder
88af0d3509
Fix --skip-identical mis-detecting on OS-X, bug707.
2014-02-09 16:32:49 -05:00
Wilson Snyder
97633f7bed
Fix array bound checks on real variables.
2014-02-09 09:19:05 -05:00
Wilson Snyder
2d61e0270e
Support case inside, bug708.
2014-01-20 21:59:53 -05:00
Wilson Snyder
4422de0c6c
Copyright year update.
2014-01-06 19:28:57 -05:00
Wilson Snyder
bcefc17631
Support modport import, bug696.
2013-12-21 06:51:15 -05:00
Wilson Snyder
daf19e241e
Fix struct trace coredump from recent commit.
2013-12-21 06:46:48 -05:00
Wilson Snyder
b5f5b1fdf9
Fix wire declarations with size and not range, bug466.
2013-12-14 19:50:55 -05:00
Wilson Snyder
801b718953
Add --trace-structs to show struct names, bug673.
2013-12-14 19:13:31 -05:00
Wilson Snyder
5d233b8c09
Fix parameter pin vs. normal pin error, bug704.
2013-12-14 18:04:10 -05:00
Wilson Snyder
00724597f4
Fix tracing of packed structs, bug705.
2013-12-14 16:51:08 -05:00
Wilson Snyder
c659940ea8
Internals: Use VNumRange for AstTraceDecl
2013-12-14 12:17:31 -05:00
Wilson Snyder
23539eb55c
Fix some delayed assignments of typedefed unpacked arrays. See following trace test.
2013-12-14 10:33:08 -05:00
Wilson Snyder
e69bf418de
Fix --lint-only with MinGW, msg1283.
2013-11-29 08:28:48 -05:00
Wilson Snyder
e74186565d
Add --compiler clang to work around compiler bug, bug694.
2013-11-26 18:46:55 -05:00
Wilson Snyder
7e54281e26
Fix array assignment from const var, bug693.
2013-10-31 22:39:26 -04:00
Wilson Snyder
15f512bdee
Debug: With --debug, run check tree at all steps even if no --dump-more
2013-10-30 23:25:54 -04:00
Wilson Snyder
ecfe0283e2
Fix crash with coverage of structures, bug691.
2013-10-29 20:15:01 -04:00
Wilson Snyder
4f6d80c602
Add UNPACKED warning to convert unpacked structs.
2013-10-28 20:41:05 -04:00
Wilson Snyder
b50542531d
Fix enum value extension of '1.
2013-10-28 20:24:31 -04:00
Wilson Snyder
a8310f35f2
Fix evaluation of chained parameter functions, bug684.
2013-10-18 07:06:32 -04:00
Wilson Snyder
27686d8c2f
Add --no-order-clock-delay to work around bug613.
2013-09-30 16:52:43 -04:00
Wilson Snyder
f1d9437c55
Report SELRANGE warning for non-generate if, bug675.
2013-09-10 07:16:13 -04:00
Wilson Snyder
bcba5075e8
Fix ordering of , msg1229.
2013-09-07 16:43:43 -04:00
Wilson Snyder
d6e8b0263c
Fix crash on 32-bit Ubuntu, bug670.
2013-09-03 21:40:43 -04:00
Wilson Snyder
9aba617bad
Fix --output-split-cfunc to count internal functions.
2013-09-03 19:35:32 -04:00
Wilson Snyder
c24f7b1391
Support named function and task arguments.
2013-08-17 20:34:49 -04:00
Wilson Snyder
d3d359e757
Fix clang warnings, bug668.
2013-08-08 19:39:39 -04:00
Wilson Snyder
ae763ea93d
Internals: Debugs for bug666.
2013-08-08 07:05:21 -04:00
Wilson Snyder
236b9e9761
Fix parameter real conversion from integer.
2013-07-29 22:03:47 -04:00
Wilson Snyder
e42c9dfd84
Grammar
2013-07-29 21:53:43 -04:00
Wilson Snyder
bebf5b291b
Fix final duplicate declarations when non-inlined, bug661.
2013-07-29 21:47:23 -04:00
Wilson Snyder
1baa2a2558
Fix interface ports with comma lists, msg1058.
2013-06-13 19:38:18 -04:00
Jeremy Bennett
b277bc8750
Fix ordering of clock enables with delayed assigns, bug613.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2013-06-05 23:35:47 -04:00
Wilson Snyder
3dd552c4a2
Duplicate clock gate optimization on by default, use -Od to disable
2013-05-27 22:39:59 -04:00
Wilson Snyder
23bb045a72
Support interfaces and modports, bug102.
2013-05-27 21:39:19 -04:00
Wilson Snyder
7c834ad118
Internals: Misc cleanups from interface branch. No functional change.
2013-05-27 20:56:20 -04:00
Wilson Snyder
8e2617ab8d
Internals: V3Inline support for future hard-no-inline. No functional change.
2013-05-26 11:17:42 -04:00
Wilson Snyder
24fcae4f49
Internals: When broken link fatal, say which rule violated
2013-05-25 17:05:22 -04:00
Wilson Snyder
ce18674d88
Internals: (see last) also dump on first non-fatal
2013-05-25 13:31:17 -04:00
Wilson Snyder
6b8d9b5c36
Internals: If registered, dump symtable on any error
2013-05-25 12:15:38 -04:00
Wilson Snyder
81bf95763c
Internals: Refactor V3Inline to simplify if(m_cellp) out. No functional change.
2013-05-25 10:42:44 -04:00
Wilson Snyder
2d64077fd0
Internals: Prep to allow future move of wrapping. No functional change.
2013-05-25 10:15:10 -04:00
Wilson Snyder
48d177a9d0
Fix packed array select internal error, bug652.
2013-05-24 21:14:42 -04:00
Wilson Snyder
5765e099a6
Debug: More digits for debug file sorting.
2013-05-23 20:50:48 -04:00
Wilson Snyder
175d59ecba
Fix GCC version runtime changes, bug651.
2013-05-23 20:19:51 -04:00
Wilson Snyder
84efd239a5
Fix arrayed input compile error, bug645. Try 2.
2013-05-18 20:17:17 -04:00
Wilson Snyder
6a69813326
Internals: Renames for interfaces. No functional change.
2013-05-18 19:45:52 -04:00
Wilson Snyder
2c9dcc3913
Fix arrayed input compile error, bug645.
2013-05-15 22:00:28 -04:00
Wilson Snyder
53cd9d2403
Fix nested union crash, bug643.
2013-05-10 21:02:48 -04:00
Wilson Snyder
3d0f5fc078
Fix packed array non-zero right index select crash, bug642.
2013-05-10 07:09:25 -04:00
Wilson Snyder
54eedcc739
Support signal[vec]++.
2013-05-06 08:02:16 -04:00
Wilson Snyder
1bea845ceb
Fix simulation error when inputs and MULTIDRIVEN, bug634.
2013-05-02 08:23:17 -04:00
Wilson Snyder
d581582339
Add ALWCOMBORDER warning.
2013-04-30 22:55:28 -04:00
Wilson Snyder
4eabc1992e
Fix gcc 4.1.2 compile warnings
2013-04-30 22:55:03 -04:00
Wilson Snyder
345a5d5646
Add --pins-sc-uint and --pins-sc-biguint, bug638.
2013-04-26 21:02:32 -04:00
Wilson Snyder
464679c78b
Fix module resolution with __, bug631.
2013-03-12 07:27:17 -04:00
Wilson Snyder
9e29625207
Fix UNOPTFLAT circular array bounds crossing, bug630.
2013-03-08 19:25:20 -05:00
Wilson Snyder
a767da4f3f
Support <number>'() sized casts, bug628.
2013-03-05 22:13:22 -05:00
Wilson Snyder
7bd96c2876
Internals: Tristate commentary
2013-02-27 22:59:17 -05:00
Wilson Snyder
70fd64dcd6
IEEE 1800-2012 is now the default language. This adds 4 new keywords and updates the svdpi.h and vpi_user.h header files.
2013-02-26 23:01:19 -05:00
Jeremy Bennett
bb2822f4b5
Add --report-unoptflat, bug611.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2013-02-26 22:26:47 -05:00
Wilson Snyder
ad21108b63
Internals: Create graph clone methods.
2013-02-25 21:03:50 -05:00
Wilson Snyder
6c8d95e0e2
Nice message on fopen with missing argument.
2013-02-22 17:14:27 -05:00
Wilson Snyder
6594a54a95
Fix wrong dot resolution under inlining.
2013-02-21 23:38:29 -05:00
Wilson Snyder
a9a4cf061a
Fix tristate duplicate __Vcellinp declaration
2013-02-20 22:28:56 -05:00
Wilson Snyder
b7f0e204cb
Spelling fixes
2013-02-20 21:51:39 -05:00
Varun Koyyalagunta
e6a15f233b
Internals: GateDedupe: Use visitor per msg980.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2013-02-20 20:26:53 -05:00
Varun Koyyalagunta
e0edb596ea
Add duplicate clock gate optimization, msg980.
...
Experimental and disabled unless -OD or -O3 used (for now),
Please try it as may get some significant speedups.
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2013-02-20 20:14:15 -05:00
Varun Koyyalagunta
f2fb77c15a
Internals: New Hashed/Graph functions towards msg980.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2013-02-19 18:49:36 -05:00
Wilson Snyder
772a3a97eb
Internals: Functions in order. No functional change.
2013-02-18 12:15:50 -05:00
Wilson Snyder
6c310836a1
Internals: Track original signal name. No functional change.
2013-02-18 11:22:24 -05:00
Wilson Snyder
75416a3016
Commentary
2013-02-18 11:05:47 -05:00
Wilson Snyder
e71baca39b
Internals: Make propagateAttrClocksFrom. No functional change.
2013-02-16 08:07:18 -05:00
Wilson Snyder
18eb210313
Support bind in , bug602.
2013-02-14 06:55:09 -05:00
Wilson Snyder
4386077e2d
Support pattern assignments with data type labels, bug618.
2013-02-13 20:52:38 -05:00
Wilson Snyder
49dbfd2131
Support pattern assignments in function calls, bug617.
2013-02-13 20:32:25 -05:00
Wilson Snyder
a80fce5ac1
Support pattern assignments to const variables, bug616.
2013-02-13 19:32:36 -05:00
Wilson Snyder
891b981cab
Fix LITENDIAN on unpacked structures, bug614.
2013-02-13 19:03:10 -05:00
Jeremy Bennett
062eb85075
Fix DETECTARRAY on packed structures, bug610.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2013-02-10 09:54:27 -05:00
Wilson Snyder
0df561f873
Fix whole slices of arrays, bug609.
2013-02-04 22:11:10 -05:00
Wilson Snyder
238fc24684
Remove slow sync() call for NFS flushing.
2013-02-04 21:21:55 -05:00
Wilson Snyder
936855c81c
Fix elimination, bug610 _2 test.
2013-02-03 14:13:16 -05:00
Wilson Snyder
f07f6a26a8
cppcheck fixes
2013-02-03 13:27:37 -05:00
Wilson Snyder
7d44bba95c
Better packed-array misconnect error message
2013-02-02 19:22:02 -05:00
Wilson Snyder
6e6f1045b8
Fix per-bit array output connection error, bug414.
2013-02-02 18:33:10 -05:00
Wilson Snyder
5dd3221759
Fix complete selection of array ports
2013-02-02 16:52:08 -05:00
Wilson Snyder
09edb467f6
Fix CDC report causing out-of-memory
2013-02-02 15:40:59 -05:00
Wilson Snyder
ffb187ae15
Fix enums in sensitivity lists
2013-02-02 14:37:18 -05:00
Wilson Snyder
b4a8be07f3
Fix enum items under packages.
2013-02-02 14:11:50 -05:00
Wilson Snyder
4968a2abc5
Support inside expressions.
2013-02-02 12:55:48 -05:00
Wilson Snyder
91159da30d
Fix enums with X values. Test in next commit.
2013-02-02 12:43:28 -05:00
Wilson Snyder
c9ad61b4fb
Support wires with data types, bug608.
2013-02-02 09:33:04 -05:00
Wilson Snyder
e1eb41fe77
Fix segfault on multidimensional dotted arrays, bug607.
2013-01-25 21:27:19 -05:00
Wilson Snyder
498ab23355
Commentary
2013-01-20 13:00:02 -05:00
Wilson Snyder
5d6a053f98
Fix internal error on array pin mismatch, bug393.
2013-01-20 12:59:27 -05:00
Wilson Snyder
929aeebf12
Support , and related functions, bug448.
2013-01-20 12:19:22 -05:00
Wilson Snyder
00bd947385
Tests: Additional unsupported iface tests
2013-01-19 14:40:35 -05:00
Wilson Snyder
d4ef86afc0
Fix signed/unsigned parameter misconversion, bug606.
2013-01-18 21:35:43 -05:00
Wilson Snyder
1856cad816
Maintain little endian indication for multidimensional arrays
2013-01-17 23:21:07 -05:00
Wilson Snyder
f29f30dce0
Fix struct +: slices, bug605.
2013-01-17 21:48:35 -05:00
Wilson Snyder
de4016dcff
Internals: Ast classes create declRange().
2013-01-17 20:41:45 -05:00
Wilson Snyder
bbeb382cbb
Internals: Rename range lo/hi to match IEEE.
2013-01-17 20:29:20 -05:00
Wilson Snyder
385c166830
Fix package logic var compile error.
2013-01-17 19:04:36 -05:00
Wilson Snyder
410e6ff203
Fix DECLFILENAME warning on .
2013-01-17 18:38:51 -05:00
Jeremy Bennett
8b47c4e307
Fix loosing logic/bit difference and -x-initial-edge fallout, bug604.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2013-01-17 07:16:19 -05:00
Wilson Snyder
6d1b42bedb
Fix implicit one bit parameter selection, bug603.
2013-01-16 20:58:48 -05:00
Wilson Snyder
f0a4bd28b6
Comment about -x-initial-edge and logic/bit.
2013-01-16 20:47:22 -05:00
Wilson Snyder
2879684f21
Define SYSTEMVERILOG, SV_COV_START and other IEEE mandated predefines.
2013-01-16 19:11:56 -05:00
Wilson Snyder
0437d0abea
Fix pin width mismatch error, bug595.
2013-01-15 19:26:35 -05:00
Wilson Snyder
795e66eac9
Support bind, to module names only, bug602.
2013-01-14 23:19:44 -05:00
Wilson Snyder
8127a79cb1
Fix nested packed arrays and structs, bug600.
...
IMPORTANT: Packed arrays are now represented as a single linear vector in
Verilated models this may affect packed arrays that are public or accessed via the VPI.
2013-01-14 21:49:22 -05:00
Wilson Snyder
66b1611649
In debug, show node dump after errors.
2013-01-14 21:37:55 -05:00
Wilson Snyder
7f5220a6ca
Internals: Fix marking of packed vs unpacked wires.
2013-01-13 22:18:57 -05:00
Wilson Snyder
e41e26717b
Commentary
2013-01-13 19:51:15 -05:00
Wilson Snyder
7a8184d206
Internals: Remove dimension and use only dtypes for V3Width.
2013-01-13 15:21:38 -05:00
Wilson Snyder
13bf2f19ac
Internals: Have V3Unknown/Const use only dtypes for selects.
2013-01-13 14:54:12 -05:00
Wilson Snyder
1d5ebfd0b1
Internals: Have V3WidthSel use only dtypes for select promotion.
2013-01-13 14:49:53 -05:00
Wilson Snyder
dfc11da2ce
Internals: Dump array bounds in tree file.
2013-01-13 14:30:56 -05:00
Wilson Snyder
191af2e87b
Internals: Make SelPlus consistent with other branches. No functional change intended.
2013-01-13 11:30:05 -05:00
Wilson Snyder
0985b82760
Commentary
2013-01-13 09:48:12 -05:00
Wilson Snyder
26f4b5a69a
Stats: Count only unpacked
2013-01-12 16:26:26 -05:00
Wilson Snyder
5c7a6e278f
Internals: Split into packed and unpacked array types
2013-01-12 16:19:25 -05:00
Wilson Snyder
ae1ab8aaaa
Fix array slice selection of msb==lsb.
2013-01-12 15:34:09 -05:00
Wilson Snyder
18c25d1b6f
Commentary
2013-01-12 14:51:16 -05:00
Wilson Snyder
5888a2c399
Internals: Move V3WidthSel::range into V3Width. No functional change intended.
2013-01-12 14:23:56 -05:00
Wilson Snyder
0a3a582949
Fix array extraction of implicit vars, bug601.
2013-01-09 19:00:12 -05:00
Wilson Snyder
08fec0534d
Fix package import preventing local var, bug599.
2013-01-08 19:06:52 -05:00
Wilson Snyder
5bf92c9d3a
Fix task inlining under case values, bug598. Note this reorders high level operations, so may change loose some optimizations.
2013-01-02 18:35:21 -05:00
Wilson Snyder
a8bbf7231b
Copyright year update.
2013-01-01 09:42:59 -05:00
Wilson Snyder
229d854607
Fix package resolution of parameters, bug586.
2012-12-31 17:05:13 -05:00
Wilson Snyder
562460606f
Internals: Add V3LinkDot m_ds structure, towards bug586. No functional change.
2012-12-31 14:00:04 -05:00
Wilson Snyder
46f70b1cbb
Fix implying dotted wire names
2012-12-31 13:50:44 -05:00
Wilson Snyder
a547133efe
Internals: Remove VAR_MEM to match Verilog-Perl, towards bug586.
2012-12-31 13:47:34 -05:00
Wilson Snyder
cf445898ce
Internals: Move VARRESET rule to match Verilog-Perl and prevent next change conflict, towards bug586. No functional change.
2012-12-31 13:43:54 -05:00
Wilson Snyder
98f68e46d6
Fix package import of package imports, partial bug592.
2012-12-17 20:26:40 -05:00
Wilson Snyder
27660b271d
Fix package import of non-localparam parameter, bug591.
2012-12-17 19:07:23 -05:00
Wilson Snyder
4c7f051247
Fix task inlining under , bug589.
2012-12-15 21:41:37 -05:00
Wilson Snyder
cc47ba2404
Support "unsigned int" DPI import functions, msg966.
2012-12-03 20:43:13 -05:00
Wilson Snyder
2238fa46ed
Show fileline in bison debug.
2012-12-02 18:03:34 -05:00
Wilson Snyder
de8b040e31
bisonpre: Add colon to states for searching
2012-12-02 16:19:31 -05:00
Wilson Snyder
f607b32938
Fix crash on dotted references into dead modules, bug583.
2012-11-30 06:57:36 -05:00
Wilson Snyder
c7a088faa5
Fix mis-optimized identical submodule subtract, bug581. Take 2.
2012-11-28 20:18:41 -05:00
Wilson Snyder
30f6c0e105
Fix mis-optimized identical submodule subtract, bug581.
2012-11-28 07:36:47 -05:00
Jeremy Bennett
39a31fc17f
Fix --debug overriding preceding --dump-treei, bug580.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2012-11-21 06:55:28 -05:00
Wilson Snyder
7a8c425103
Add +1364-1995ext and similar language options, bug532.
2012-11-13 20:12:23 -05:00
Wilson Snyder
6cd9b25a53
Fix array of struct references giving false error, bug566.
2012-11-03 09:17:42 -04:00
Wilson Snyder
0431b1909c
Fix name collision on task inputs, bug569.
2012-11-03 08:01:19 -04:00
Wilson Snyder
907665e2e8
Fix name collision on unnamed blocks, bug567.
2012-11-02 20:30:47 -04:00
Wilson Snyder
61e8836fbd
Add -x-initial-edge, bug570. by Jeremy Bennett; from BENNETT/initial-edge branch.
2012-11-02 19:55:34 -04:00
Wilson Snyder
7ef37d6e17
Fix missing var access functions when no DPI, bug572.
2012-10-30 03:02:35 -04:00
Wilson Snyder
158e112752
Internals: Resolve misc bison comments with Verilog-Perl. No functional change.
2012-10-08 21:20:13 -04:00
Wilson Snyder
ec992c7f5e
Internals: Resolve misc bison comments with Verilog-Perl
2012-10-08 20:45:39 -04:00
Wilson Snyder
e7d63c7644
Fix large shift error on large shift constants.
2012-10-08 07:05:54 -04:00
Wilson Snyder
6464e8fee6
Misc code cleanups. Merge from assignment pattern branch. No functional change.
2012-09-25 19:27:00 -04:00
Wilson Snyder
047d66a657
Slice code cleanup. No functional change.
2012-09-25 19:17:57 -04:00
Wilson Snyder
c378d32c85
Fix parameter pins interspersed with cells broke in 3.840.
2012-09-07 19:51:41 -04:00
Jeremy Bennett
48dddd4ae7
Debug: Use dot label rather than a custom nTITLE node to label the graph. Generate all graph level attributes as attributes of the graph entity. bug555.
...
Signed-off-by: Wilson Snyder <wsnyder@wsnyder.org>
2012-08-27 19:02:27 -04:00
Wilson Snyder
9c00fd10de
Add --savable to support model save/restore.
2012-08-26 21:19:43 -04:00
Wilson Snyder
f0e1d204fa
Fix triangle symbol resolution error broke in 3.840, bug550.
...
This requires the parse symbol table persist across all parse runs. This is
probably more correct than before, but may result in some fallout if people
relied on data types not being persistant across separately parsed cells.
2012-08-15 21:28:30 -04:00
Wilson Snyder
8ece0a8a5f
Create V3ParseSym. No functional change.
2012-08-15 21:14:20 -04:00
Wilson Snyder
d3601dd561
Support '{} assignment pattern on structures, part of bug355.
2012-08-12 15:15:21 -04:00
Wilson Snyder
f685cf1d0c
Fix duplicate begin error broke in 3.840, bug548.
2012-08-10 19:39:18 -04:00
Wilson Snyder
5f9810070d
Fix imports under multiple instantiated cells, bug542.
2012-08-08 21:59:17 -04:00
Wilson Snyder
b51d197117
Fix defparam in generate broke in 3.840, bug543.
2012-08-07 18:24:51 -04:00
Wilson Snyder
e4f0a8952c
Fix double-deep parameter cell WIDTHs, bug541.
2012-08-02 07:02:57 -04:00
Wilson Snyder
6339159b04
MAJOR: Support packed structures and unions, bug181.
2012-07-29 10:16:20 -04:00
Wilson Snyder
aec019991c
Tests: Misc fixes from struct branch.
2012-07-28 14:05:30 -04:00
Wilson Snyder
33e6a17747
Internals: Look at tree state instead of back for AstAttr. No functional change.
2012-07-28 10:52:29 -04:00
Wilson Snyder
ecf508ec23
Fix replicate verilog dump being backwards
2012-07-28 08:25:27 -04:00
Wilson Snyder
1899096ff4
Internals: Width debug and null print check
2012-07-27 21:12:06 -04:00
Wilson Snyder
6e219f5831
Internals: Rework V3WidthSel func for non-vars. No functional change intended.
2012-07-25 07:26:41 -04:00
Wilson Snyder
b52d94273c
Internals: Move variable referencing into LinkDot in support of structs.
2012-07-24 06:26:35 -04:00
Wilson Snyder
e655c85489
Internals: findBit etc can be const. No functional change.
2012-07-23 21:29:53 -04:00
Wilson Snyder
dee7210231
Debug: add GDB dtf function to dump tree to file
2012-07-23 20:21:04 -04:00
Wilson Snyder
09ebc0c163
Internals: Fix 0x tree debug suffix. Misc merges from struct. No functional change.
2012-07-22 22:48:39 -04:00
Wilson Snyder
d2ede75c71
Fix compile error under git submodules, bug534.
2012-07-22 19:51:14 -04:00
Wilson Snyder
0f583f4b33
Internals: Remove dead varref code, part of last change.
2012-07-21 21:23:57 -04:00
Wilson Snyder
f175db74a5
Internals: Rework implicit handling to make room for ParseRef vs. VarRef
2012-07-21 21:18:07 -04:00
Wilson Snyder
8d5e37a413
Internals: Move trace check up. No functional change.
2012-07-21 20:33:24 -04:00
Wilson Snyder
8b9b7178a2
Internals: MAJOR CHANGE. Combine V3Link and V3LinkDot stages for structures.
...
Functionality should be similar, but may introduce instability in resolving variables/cells.
Final merge from dot.
2012-07-21 17:12:42 -04:00
Wilson Snyder
a2f49063e2
Internals: Rename LinkDot methods. Merge from dot. No functional change
2012-07-21 09:27:57 -04:00
Wilson Snyder
abe9ecd225
Internals: Move AstBegin code below GenFor. No functional change.
2012-07-21 07:28:39 -04:00
Wilson Snyder
67409af38d
Fix valgrind uninitialized bits.
2012-07-19 22:26:15 -04:00
Wilson Snyder
b7d1c34aa6
Internals: Add more sym table debug, renames. Merge from dot. No functional change
2012-07-19 21:18:39 -04:00
Wilson Snyder
b18690cbe2
Linking renames. Merge from dot. No functional change.
2012-07-17 21:29:10 -04:00
Wilson Snyder
96155365b2
Fix GCC 4.7.0 compile warnings, bug530.
2012-07-15 12:27:36 -04:00
Wilson Snyder
3ec687a0cf
Internals: Merge from struct branch, rework LinkDot symbol table. No functional change intended.
2012-06-20 06:13:28 -04:00
Wilson Snyder
365034d7dd
Internals: Merge from struct branch, rename SymTable calls. No functional change intended.
2012-06-20 06:09:07 -04:00
Wilson Snyder
09aa9f87d8
Internals: Move initial resolution to V3LinkParse. No functional change intended.
2012-06-13 23:08:45 -04:00
Wilson Snyder
97963fb8c9
Internals: Move DECLFILENAME code to LinkCells.
2012-06-13 22:18:12 -04:00
Wilson Snyder
ee1643ce39
Fix false UNUSED warning on file system calls.
2012-06-03 08:20:12 -04:00
Wilson Snyder
a82cdcfe48
Add --converge-limit option.
2012-05-31 18:56:31 -04:00
Wilson Snyder
1b439703ff
Fix leak issues, bug521
2012-05-30 23:17:55 -04:00
Wilson Snyder
4cc5943f90
Leak fix - Null sense item shouldn't disable event
2012-05-30 23:15:25 -04:00
Wilson Snyder
5fad666678
Fix +: and -: memory leak.
2012-05-29 23:16:13 -04:00
Wilson Snyder
e12bf35996
Fix leak check compile and run, bug521.
2012-05-29 22:59:17 -04:00
Wilson Snyder
d34fccec22
Internals: Remove typedefFwd earlier to simplify Link
2012-05-28 10:23:47 -04:00
Wilson Snyder
429437f1b9
Internals: Reorder code. No functional change.
2012-05-28 09:19:51 -04:00
Wilson Snyder
c6e7d87960
Commentary - Remove author lines as amany contributors now
2012-05-24 19:19:48 -04:00
Wilson Snyder
716320f3ca
Extra debug check
2012-05-24 19:15:28 -04:00
Wilson Snyder
1d12b47cb8
Fix internal readWholefile error check, bug518.
2012-05-22 10:20:35 -04:00
Wilson Snyder
1bc1ee9e08
Fix duplicate warnings/errors, bug516.
2012-05-21 21:31:52 -04:00
Wilson Snyder
53f50463bc
Internals: Add warnMore() for all continued messages.
2012-05-21 21:24:17 -04:00
Wilson Snyder
280f674ce9
Fix signed extending biops with WIDTH warning off, bug511.
2012-05-16 22:18:52 -04:00
Wilson Snyder
a92c66d512
Internals: Pass expected dtype in width. No functional change intended.
2012-05-16 20:58:15 -04:00
Wilson Snyder
a9a49345ba
Fix loop error message to report line, bug513.
2012-05-16 19:31:24 -04:00
Wilson Snyder
1b1991d53d
Fix output with select hitting tristate, bug514.
2012-05-15 19:26:20 -04:00
Wilson Snyder
6d0f39d841
Fix sign-extension underneath boolean operators. (In theory shouldn't matter, since comparing to zero.)
2012-05-11 18:26:45 -04:00
Wilson Snyder
1aec645510
Internals: Fix dead parameter calls. No functional change.
2012-05-09 23:06:43 -04:00
Wilson Snyder
3ea2038d3d
Internals: Fix dead parameter calls. No functional change.
2012-05-09 23:04:20 -04:00
Wilson Snyder
942cb5e87e
Internals: Make findDtype functions use this. No functional change.
2012-05-09 22:12:57 -04:00
Wilson Snyder
37a3a7cdce
Fix tristate bug512, broken with tristate commit.
2012-05-09 20:34:15 -04:00
Wilson Snyder
435a27b66a
Tristate: Major rework to support Z tieoffs, bug499, bug510.
2012-05-08 21:53:22 -04:00
Wilson Snyder
b31a7cdcbf
Internals: Fix uninitialized m_alhs
2012-05-08 20:05:43 -04:00
Wilson Snyder
ac61548e6a
Optimize tristate structure OR(AND(x,y),AND(z,y)).
2012-05-08 19:20:23 -04:00
Wilson Snyder
e498b73933
Internals: Misc tristate merges. Pins renamed but... No functional change intended.
2012-05-07 23:43:19 -04:00
Wilson Snyder
ff69bdbc6f
Debug: Add @ to end of dtype to avoid miscutting
2012-05-07 19:01:45 -04:00
Wilson Snyder
0c1e184cb7
Fix newlines in radix values, bug507.
2012-05-07 08:58:29 -04:00
Wilson Snyder
e97541dcbc
Internals: Minor tristate changes from branch. No functional change.
2012-05-06 16:52:08 -04:00
Wilson Snyder
7b3eb22865
Fix compile warning
2012-05-06 14:05:56 -04:00
Wilson Snyder
d55f065ce2
Internals: Tristate join AND/OR visitor pull setter. No functional change
2012-05-05 15:04:33 -04:00
Wilson Snyder
86af244636
Internals: Tristate common pull setter. No functional change
2012-05-05 15:03:00 -04:00
Wilson Snyder
2c9e2b2e3c
Internals: Common insert function in tristate. No functional change.
2012-05-05 14:55:35 -04:00
Wilson Snyder
33c8bf886e
Debug: Assume PDF output for dot.
2012-05-05 14:50:11 -04:00
Wilson Snyder
ef71a089a6
Internals: Spacing. No functional change
2012-05-05 14:49:43 -04:00
Wilson Snyder
5fc98cce0d
Fix parameters not supported in constant functions, bug474.
2012-05-03 21:59:47 -04:00
Wilson Snyder
b9101c3d6a
Better message for display-like format warnings, bug500.
2012-05-02 21:04:50 -04:00
Wilson Snyder
6aab0f627c
Fix input and real loosing real data type, bug501.
2012-05-02 20:53:38 -04:00
Wilson Snyder
6b97673d0a
Internals: Fix dtype() dead code drop on assign varrefs
2012-05-02 20:53:19 -04:00
Wilson Snyder
5d8c1ce84e
Internals: Avoid broken recusion
2012-05-02 20:52:10 -04:00
Wilson Snyder
33c9591a4b
Internals: Move widthPow2. No functional change.
2012-04-29 11:34:25 -04:00
Wilson Snyder
87e8736823
IMPORTANT: Major internal changes for supporting complex data types.
...
Adds dtype() to every node, keep global table of dtypes and remove duplicates.
Final merge from dtype branch.
2012-04-29 10:14:13 -04:00
Wilson Snyder
c0da16bfcd
Internals: Create dtypeFrom. Merge from dtype. No functional change.
2012-04-29 09:42:17 -04:00
Wilson Snyder
a4ddc5b3ac
Internals: Create user1SetOnce(). No functional change.
2012-04-29 08:55:33 -04:00
Wilson Snyder
91e4010039
Internals: Rename AstRefDType subDTypep. Merge from dtype. No functional change.
2012-04-29 08:38:53 -04:00
Wilson Snyder
486b6580d8
Internals: Rename VSignedState. Merge from dtype. No functional change.
2012-04-29 08:30:02 -04:00
Wilson Snyder
9c4ef27d49
Internals: Cleanup dead function names. Merge from dtype. No functional change.
2012-04-29 08:24:32 -04:00
Wilson Snyder
d5e19661bd
Debug: Tree compare between versions; merge from dtype branch. No functional change.
2012-04-28 13:00:44 -04:00
Wilson Snyder
799afac05b
Internals: V3Hashed cleanups; merge from dtype branch. No functional change.
2012-04-28 12:33:51 -04:00
Wilson Snyder
3ba36dc30c
Debugging: Show tree file writes, commentary
2012-04-28 12:22:38 -04:00
Wilson Snyder
641024c235
Fix generated inouts with duplicated modules, bug498.
2012-04-27 19:41:13 -04:00
Wilson Snyder
d9598db117
Internals: In debug dumps, show user pointers
2012-04-27 19:01:08 -04:00
Wilson Snyder
2e4da07a15
Fix ITOD internal error on real conversions, bug491.
2012-04-26 22:30:22 -04:00
Wilson Snyder
40f4411b69
Fix tristate connection to unconnected input, bug494, bug495.
2012-04-26 21:11:48 -04:00
Wilson Snyder
c75de0f37c
Add INITIALDLY warning on initial assignments, bug478.
2012-04-26 20:40:13 -04:00
Wilson Snyder
74c4c1bf44
Internals: Pass unconnected pins through structures. No functional change intended.
2012-04-26 19:01:11 -04:00
Wilson Snyder
60a637921c
Add PINMISSING and PINNOCONNECT lint checks.
2012-04-26 18:46:44 -04:00
Wilson Snyder
22312b3c9c
Internals: Remove dead code. No functional change intended.
2012-04-26 18:42:02 -04:00
Wilson Snyder
24e79ecc68
Fix coredump on constant connect
2012-04-25 22:51:31 -04:00
Wilson Snyder
5b532a1812
Fix generate if, broke in earlier committ, bug492. Merge from Bennett.
2012-04-25 18:17:10 -04:00
Wilson Snyder
f3867d7f80
Fix imports causing symbol table error, bug490.
2012-04-24 21:21:26 -04:00
Wilson Snyder
fb90e47e70
Tests: Add t_udp_noname, bug468
2012-04-24 20:43:15 -04:00
Wilson Snyder
68046244dd
Fix 'output tri0' 2001 declaration; Var characteristics must be attributes
2012-04-24 07:45:02 -04:00
Wilson Snyder
b1507060ad
Fix output with tri1, but489
2012-04-24 07:12:51 -04:00
Wilson Snyder
ffbd595d88
Support nmos and pmos, bug488.
2012-04-23 20:13:07 -04:00
Wilson Snyder
ed5bc1e69c
Internals: Rename arrayp to rangep(). No functional change intended.
2012-04-22 19:18:51 -04:00
Wilson Snyder
9734931f67
IMPORTANT: Rewrite tristate handling, tri0, tri1, etc.
2012-04-21 21:45:28 -04:00
Wilson Snyder
0048b04540
Merge from Tristate branch, part 1 of 2. No functional change intended
2012-04-21 19:30:08 -04:00
Wilson Snyder
2d8feabe54
Fix generate operators not short circuiting, bug413. Merge from Jeremy Bennett.
2012-04-19 22:53:52 -04:00
Wilson Snyder
1b511dd130
Internals: Add opLogIf(f). No functional change. Merge from Jeremy Bennet.
2012-04-19 21:02:08 -04:00
Wilson Snyder
735fa6287f
Fix linking suppressing duplicate var and block name errors
2012-04-19 20:51:21 -04:00
Wilson Snyder
946d0cd219
Add readme.pdf and internal.pdf
2012-04-15 14:51:04 -04:00
Wilson Snyder
4d0b964e36
Internals: Merge from dtype. Create subDTypep. No functional change intended.
2012-04-14 12:43:03 -04:00
Wilson Snyder
2b12ab4d5a
Internals: Merge from dtype. Add hasDType; still unused. No functional change.
2012-04-14 11:03:38 -04:00
Wilson Snyder
8114957e6d
Internals: Merge from dtype. Rename isSigned to dtypeChgSigned. No functional change.
2012-04-14 10:45:24 -04:00
Wilson Snyder
50edef4ab2
Add Emacs indentation line. No functional change
2012-04-12 21:08:20 -04:00
Wilson Snyder
4a5e775a2b
Fix change detections on arrays.
2012-04-09 20:17:51 -04:00