tcl: reformat existing code

Signed-off-by: John McMaster <johndmcmaster@gmail.com>
This commit is contained in:
John McMaster 2018-12-05 16:52:20 -08:00
parent c7aacd521b
commit 54dcdf1f2e
79 changed files with 902 additions and 973 deletions

View File

@ -40,4 +40,3 @@ proc write_txtdata {filename} {
write_bitstream -force design.bit
write_txtdata design.txt

View File

@ -84,4 +84,3 @@ route_design
write_checkpoint -force design.dcp
write_bitstream -force design.bit
write_txtdata design.txt

View File

@ -36,4 +36,3 @@ proc print_tile_pips {tile_type filename} {
print_tile_pips INT_L pips_int_l.txt
print_tile_pips INT_R pips_int_r.txt

View File

@ -80,4 +80,3 @@ for {set i 100} {$i < 200} {incr i} {
write_bitstream -quiet -force design_$i.bit
write_txtdata design_$i.txt
}

View File

@ -36,4 +36,3 @@ proc print_tile_pips {tile_type filename} {
print_tile_pips INT_L pips_int_l.txt
print_tile_pips INT_R pips_int_r.txt

View File

@ -53,4 +53,3 @@ proc run {} {
}
run

View File

@ -59,4 +59,3 @@ proc run {} {
}
run

View File

@ -40,4 +40,3 @@ proc run {} {
}
run

View File

@ -38,4 +38,3 @@ proc run {} {
}
run

View File

@ -167,4 +167,3 @@ proc make_project_roi { roi_var } {
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_IBUF]
}

View File

@ -27,4 +27,3 @@ set TIME_taken [expr [clock clicks -milliseconds] - $TIME_start]
puts "Took ms: $TIME_taken"
puts "Result: $opins_zero / $nnets zero"
puts "Result: $opins_multi / $nnets multi"

View File

@ -93,4 +93,3 @@ proc wires_all {} {
build_design
pips_all
wires_all

View File

@ -43,4 +43,3 @@ proc build_design {} {
build_design
write_info4

View File

@ -44,4 +44,3 @@ proc build_design {} {
build_design
write_info4

View File

@ -43,4 +43,3 @@ proc build_design {} {
build_design
write_info4

View File

@ -43,4 +43,3 @@ proc build_design {} {
build_design
write_info4

View File

@ -43,4 +43,3 @@ proc build_design {} {
build_design
write_info4

View File

@ -219,4 +219,3 @@ proc write_info4 {} {
# for debugging
# source ../project.tcl
# write_info4

View File

@ -170,4 +170,3 @@ proc nodes_unique_cc {} {
build_design_full
speed_models2
nodes_unique_cc

View File

@ -116,4 +116,3 @@ proc write_data {} {
build_project
write_data

View File

@ -87,4 +87,3 @@ foreach cell [get_cells -hierarchical -filter {REF_NAME == LUT6}] {
write_bitstream -force design_2.bit
write_txtdata design_2.txt

View File

@ -62,4 +62,3 @@ foreach ff $ffs {
puts $fp "$type $tile $grid_x $grid_y $ff $bel_type $used $usedstr"
}
close $fp

View File

@ -24,4 +24,3 @@ route_design
write_checkpoint -force design.dcp
write_bitstream -force design.bit

View File

@ -24,4 +24,3 @@ route_design
write_checkpoint -force design.dcp
write_bitstream -force design.bit

View File

@ -56,4 +56,3 @@ foreach ff $ffs {
puts $fp "$type $tile $grid_x $grid_y $ff $bel_type $used $usedstr"
}
close $fp

View File

@ -24,4 +24,3 @@ route_design
write_checkpoint -force design.dcp
write_bitstream -force design.bit

View File

@ -24,4 +24,3 @@ route_design
write_checkpoint -force design.dcp
write_bitstream -force design.bit

View File

@ -24,4 +24,3 @@ route_design
write_checkpoint -force design.dcp
write_bitstream -force design.bit

View File

@ -24,4 +24,3 @@ route_design
write_checkpoint -force design.dcp
write_bitstream -force design.bit

View File

@ -24,4 +24,3 @@ route_design
write_checkpoint -force design.dcp
write_bitstream -force design.bit

View File

@ -23,4 +23,3 @@ route_design
write_checkpoint -force design.dcp
write_bitstream -force design.bit

View File

@ -28,4 +28,3 @@ write_checkpoint -force design.dcp
# BRAM SDP WEA check, to make test slightly easier to write
set_property IS_ENABLED 0 [get_drc_checks {REQP-1931}]
write_bitstream -force design.bit

View File

@ -22,4 +22,3 @@ route_design
write_checkpoint -force design.dcp
write_bitstream -force design.bit

View File

@ -28,4 +28,3 @@ write_checkpoint -force design.dcp
# BRAM SDP WEA check, to make test slightly easier to write
set_property IS_ENABLED 0 [get_drc_checks {REQP-1931}]
write_bitstream -force design.bit

View File

@ -47,4 +47,3 @@ proc write_txtdata {filename} {
write_bitstream -force design.bit
write_txtdata design.txt

View File

@ -88,4 +88,3 @@ route_design
write_checkpoint -force design.dcp
write_bitstream -force design.bit
write_txtdata design.txt

View File

@ -84,4 +84,3 @@ route_design
write_checkpoint -force design.dcp
write_bitstream -force design.bit
write_txtdata design.txt

View File

@ -84,4 +84,3 @@ route_design
write_checkpoint -force design.dcp
write_bitstream -force design.bit
write_txtdata design.txt

View File

@ -94,4 +94,3 @@ proc run {} {
}
run

View File

@ -85,4 +85,3 @@ route_design
write_checkpoint -force design.dcp
write_bitstream -force design.bit
write_txtdata design.txt

View File

@ -84,4 +84,3 @@ route_design
write_checkpoint -force design.dcp
write_bitstream -force design.bit
write_txtdata design.txt

View File

@ -37,4 +37,3 @@ proc print_tile_pips {tile_type filename} {
print_tile_pips INT_L bipips_int_l.txt
print_tile_pips INT_R bipips_int_r.txt

View File

@ -76,4 +76,3 @@ close $fp
write_checkpoint -force design.dcp
write_bitstream -force design.bit

View File

@ -40,4 +40,3 @@ for {set i 0} {$i < [llength $pips]} {incr i} {
puts $fp "$tile $pip"
close $fp
}

View File

@ -67,4 +67,3 @@ foreach tile_type {CLBLM_L CLBLM_R CLBLL_L CLBLL_R INT_L INT_R} {
write_clb_ppips_db "ppips_[string tolower $tile_type].txt" $tile
}
}

View File

@ -68,4 +68,3 @@ for {set i 10} {$i < 30} {incr i} {
write_bitstream -force design_${i}.bit
write_txtdata design_${i}.txt
}

View File

@ -36,4 +36,3 @@ proc print_tile_pips {tile_type filename} {
print_tile_pips INT_L pips_int_l.txt
print_tile_pips INT_R pips_int_r.txt

View File

@ -14,4 +14,3 @@ foreach site $sites {
set_property INIT 64'h8000000000000001 [get_cells lut]
write_bitstream -force logicframes_${site}_1.bit
}

View File

@ -13,4 +13,3 @@ foreach site [get_sites] {
puts "--tiledata-- SITEPROP $site $prop [get_property $prop $site]"
}
}

View File

@ -19,4 +19,3 @@ route_design
write_checkpoint -force design.dcp
write_bitstream -force design.bit

View File

@ -23,4 +23,3 @@ route_design
write_checkpoint -force design.dcp
write_bitstream -force design.bit

View File

@ -50,4 +50,3 @@ foreach it {
write_checkpoint -force design_$id.dcp
write_bitstream -force design_$id.bit
}

View File

@ -47,4 +47,3 @@ route_design
write_checkpoint -force design.dcp
write_bitstream -force design.bit

View File

@ -43,4 +43,3 @@ foreach variant {fdse fdce fdce_inv fdpe ldce ldpe} {
close_project
}

View File

@ -6,4 +6,3 @@ source "$::env(SRC_DIR)/template.tcl"
set prop DRIVE
set port [get_ports do]
source "$::env(SRC_DIR)/sweep.tcl"

View File

@ -3,4 +3,3 @@ source "$::env(SRC_DIR)/template.tcl"
set prop IOSTANDARD
set port [get_ports do]
source "$::env(SRC_DIR)/sweep.tcl"

View File

@ -12,4 +12,3 @@ foreach {val} $vals {
write_checkpoint -force design_$val.dcp
write_bitstream -force design_$val.bit
}

View File

@ -5,4 +5,3 @@ source "$::env(SRC_DIR)/template.tcl"
set prop SLEW
set port [get_ports do]
source "$::env(SRC_DIR)/sweep.tcl"

View File

@ -28,4 +28,3 @@ write_checkpoint -force design.dcp
# set_property BITSTREAM.GENERAL.DEBUGBITSTREAM Yes [current_design]
write_bitstream -force design.bit

View File

@ -19,4 +19,3 @@ foreach {val} $vals {
# Only write checkpoints for acceptable bitstreams
write_checkpoint -force design_$val.dcp
}

View File

@ -23,4 +23,3 @@ write_checkpoint -force design.dcp
# set_property -dict "PACKAGE_PIN D19 IOSTANDARD LVCMOS33" $port
# set_property PULLTYPE PULLUP $port
# set_property PULLTYPE PULLDOWN $port

View File

@ -62,4 +62,3 @@ route_via o_OBUF {
route_design
write_checkpoint -force design_b.dcp
write_bitstream -force design_b.bit

View File

@ -27,4 +27,3 @@ foreach node [lsort [get_nodes -of_objects [pblock_tiles roi]]] {
if {$wires != $node} {puts $fp $wires}
}
close $fp

View File

@ -1,4 +1,3 @@
read_verilog [lindex $argv 0]
synth_design -mode out_of_context -top roi -part $::env(XRAY_PART)
write_checkpoint -force [lindex $argv 1]

View File

@ -23,4 +23,3 @@ route_design
write_checkpoint -force design.dcp
write_bitstream -force design.bit

View File

@ -29,4 +29,3 @@ route_design
write_checkpoint -force design.dcp
write_bitstream -force design.bit

View File

@ -482,4 +482,3 @@ if {$fixed_xdc eq ""} {
write_checkpoint -force design.dcp
#set_property BITSTREAM.GENERAL.DEBUGBITSTREAM YES [current_design]
write_bitstream -force design.bit

View File

@ -60,4 +60,3 @@ proc tile_pip_report {fd tile_name} {
tile_pip_report [open "pips_clbll.txt" w] CLBLL_L_X12Y119
tile_pip_report [open "pips_int.txt" w] INT_L_X12Y119

View File

@ -10,4 +10,3 @@ route_design
write_checkpoint -force routes.dcp
write_bitstream -force routes.bit

View File

@ -23,4 +23,3 @@ write_bitstream -force design.bit
source ../../utils/utils.tcl
source pips.tcl
source routes.tcl

View File

@ -38,4 +38,3 @@ proc print_tile_info {tile} {
foreach tile [lsort [get_tiles]] {
print_tile_info $tile
}

View File

@ -22,4 +22,3 @@ route_design
write_checkpoint -force design.dcp
write_bitstream -force design.bit

View File

@ -28,4 +28,6 @@ export XRAY_FASM2FRAMES="python3 ${XRAY_UTILS_DIR}/fasm2frames.py"
export XRAY_BITTOOL="${XRAY_TOOLS_DIR}/bittool"
export XRAY_BLOCKWIDTH="python3 ${XRAY_UTILS_DIR}/blockwidth.py"
export XRAY_PARSEDB="python3 ${XRAY_UTILS_DIR}/parsedb.py"
export XRAY_REFORMAT_TCL="${XRAY_UTILS_DIR}/reformat.tcl"
export XRAY_TCL_REFORMAT="${XRAY_UTILS_DIR}/tcl-reformat.sh"

View File

@ -106,4 +106,3 @@ proc lintersect {lst1 lst2} {
proc putl {lst} {
foreach line $lst {puts $line}
}