Stephen Williams
f662d76b2e
Add infrastructure for enabling Verilog-AMS
...
Add the -gverilog-ams flag to the driver, and the begin_keywords support
for VAMS-2.3 keywords. With this, the infrastructure is in place to
start pulling in features from Verilog-AMS.
2008-05-02 16:28:48 -07:00
Cary R
c38e8182c2
Add checks that verify an always statement has delay.
...
This patch adds check to determine if an always block has delay
in it or not. If there is no delay a runtime infinite loop will
occur. For the indeterminate case it will print a warning message
if the new -Winfloop flag is given. This flag is not part of the
-Wall check!
2008-04-22 19:53:23 -07:00
J Varshney
ad3a73f74f
Append last git tag and abbreviated commit-id to version string.
2008-04-06 09:30:55 -07:00
Michael
1bc56fcc93
Delete all temporary files even when using -E flag
...
The -E flag causes the driver to exit early. Be careful to delete
all the various temporary files even in this case.
2008-04-04 21:22:46 -07:00
Larry Doolittle
39dd22ace4
Adjust unusual spacing
...
minimal changes, nearly eliminates oddball/inconsistent
source code use of whitespace
2008-02-19 09:15:03 -08:00
Larry Doolittle
d9ac146b8f
Spelling fixes
...
only comments and documentation
some punctuation and capitalization for good measure
Changelogs are purposefully untouched
2008-01-29 20:24:24 -08:00
Larry Doolittle
6256dd8040
Add support for ivl debug flags.
2008-01-25 11:16:44 -08:00
Stephen Williams
6476be462e
Pass defines into library files.
...
Use the precompiled defines file support of the ivlpp preprocessor
to pass defines made in the main program to processed library modules.
In other words, a `define in the Verilog source will be visible to
library modules.
2007-12-30 21:26:25 -08:00
Stephen Williams
8a6626dcaa
Standard implicit include directory
...
Add support for a default, standard, implicit include directory
in the base directory for the ivl installation, where standardized
Verilog header files may be placed.
2007-12-23 19:20:45 -05:00
Gyorgy Jeney
e67b6d2b4b
Fix command line handling of warning flags.
...
Prevent an overflow of command line flags if the -Wall argument
is used multiple times, and fix processing of individual flags
that may be in the beginning of the warnings flag buffer.
2007-12-16 21:15:55 -08:00
Cary R
d5fe5689e1
Command files can nest, -f is an alias for -c and better error messages.
...
This patch adds the ability to call command files from other command
files. There is currently a limit of 16 total levels deep (15 stored
plus the current file). -f is now an alias for -c for both the command
line and command files. The parser also reports errors when they occur
along with the file name and line number to aid in debugging problems.
2007-10-02 19:40:45 -07:00
Cary R
b69c4c9a2c
Fix range handling/checking and add a flag to allow deprecated port syntax.
...
This patch is rather large and fixes a couple of problems. The major
change is that instead of keeping all the range specifications in
a list that is later processed the information is now kept as
individual entries for the port and net definitions. This allows
easier checking for multiple definitions (pr1660028), more
detailed error messages and the ability to pass the now deprecated
style of a scalar I/O definition used with a vectored net definition.
These changes did require extra code to prevent a single definition
from setting the range values in more than on place.
When using the new ANSI-C style of port declarations (1364-2001 12.3.4
list_of_port_declarations) the compiler ensures that you do not
redeclare the port in the body (it is already completely defined).
This caught a few errors in the test suite (pr859 and sqrt32*).
The flag to disable the normal port checking and allow the deprecated
port syntax is -gno-io-range-error. This will print a warning for the
case of a scalar port with a vectored definition in the body. All
other cases are still considered an error.
2007-08-29 18:10:18 -07:00
steve
34111a25cb
Bring in .SFT file automatically if -m used.
2007-06-05 01:56:12 +00:00
steve
f9c1c02f8d
Add support for -v flag in command file.
2007-04-19 02:52:53 +00:00
steve
c0496ea648
Add support for multiple command files. (Cary R.)
2007-04-18 03:23:38 +00:00
steve
f23a5bfa96
Make integer width controllable.
2007-03-07 04:24:59 +00:00
steve
1a0d48c346
Fix handling of dep path in new argument passing method.
2006-10-02 18:15:47 +00:00
steve
d6be82f748
Support selective control of specify and xtypes features.
2006-09-28 04:35:18 +00:00
steve
431eee16e4
Do not pass -D__ICARUS__ to ivlpp.
2006-09-20 22:30:52 +00:00
steve
2037650080
Pass depfiles through temp defines file.
2006-07-26 00:11:40 +00:00
steve
61f3a84557
Pass defines and includes through temp file.
2006-07-26 00:02:48 +00:00
steve
fde4ff72f6
Display as version 0.9.devel
2005-07-14 23:38:43 +00:00
steve
e7f3340513
Remove reference to SystemVerilog.
2005-06-28 04:25:55 +00:00
steve
112368c7de
Add a .sft file for the system functions.
2004-06-17 14:47:22 +00:00
steve
28719e8584
Add support for system function table files.
2004-03-10 04:51:24 +00:00
steve
0fd5a79760
Cleanup of warnings.
2004-02-15 18:03:30 +00:00
steve
19e8a92729
Fix make check to support -tconf configuration method.
2003-12-12 04:36:48 +00:00
steve
cceb2bd2c5
Remove the iverilog.conf file.
2003-11-18 06:31:45 +00:00
steve
12033d7bd4
Move the DLL= flag to target config files.
2003-11-13 05:55:33 +00:00
steve
5068e3ea15
Pass flags through the temporary config file.
2003-11-13 04:09:49 +00:00
steve
0607970eca
Add support for a target static config file.
2003-11-01 04:21:57 +00:00
steve
65ae69843b
Improve -V messages,
2003-10-26 22:43:42 +00:00
steve
2f4ec5a473
Warnings cleanup.
2003-09-26 21:25:58 +00:00
steve
daa85f2479
Pass -m flag from driver via iconfig file.
2003-09-23 05:57:15 +00:00
steve
933f4483b1
Pass more ivl arguments through the iconfig file.
2003-09-22 01:12:08 +00:00
steve
14150d6fba
ifdef idents correctly.
2003-08-26 16:26:01 +00:00
steve
94914382c2
portbind adds p, not i, flag.
2003-02-22 04:55:36 +00:00
steve
cd572a74ce
Add the portbind warning.
2003-02-22 04:12:49 +00:00
steve
0458ab5a53
Only use libiberty.h if available.
2003-01-10 19:01:04 +00:00
steve
90fa90a508
Mingw32 compatible temp file management.
2002-12-04 03:26:59 +00:00
steve
aaa734690f
Use O_EXCL when opening temp files.
2002-12-04 02:29:36 +00:00
steve
9533a8dbdf
Escape the backslash in the windows file name.
2002-08-12 01:27:48 +00:00
steve
4d41525a91
No longer any nead for -rdynamic flag
2002-08-10 22:36:59 +00:00
steve
b170a85fef
Kill links to vvm.
2002-08-10 22:27:13 +00:00
steve
4eea8720a0
Improve temporary file name guess.
2002-07-15 00:33:50 +00:00
steve
da6b4c447f
No longer need the .exe on generated files.
2002-07-14 23:32:31 +00:00
steve
b72c0a0089
Do temp file creation by hand.
2002-07-14 23:11:35 +00:00
steve
5aa69516bb
ivl indexes the search path for libraries, and
...
supports case insensitive module-to-file lookup.
2002-05-28 20:40:37 +00:00
steve
d44ff4fd91
Pass library paths through -Cfile instead of command line.
2002-05-28 02:25:03 +00:00
steve
9a4ee873e1
Add the ivl -C flag for bulk configuration
...
from the driver, and use that to run library
modules through the preprocessor.
2002-05-28 00:50:39 +00:00
steve
597ed1681a
Predefine __ICARUS__
2002-05-27 23:14:06 +00:00
steve
42674be38b
Support language generation flag -g.
2002-05-24 01:13:00 +00:00
steve
7e27217dac
add -Wno- arguments to the driver.
2002-04-24 02:02:31 +00:00
steve
0ab42597d9
Timescale warnings.
2002-04-15 00:04:22 +00:00
steve
284c6fd85d
Add dependency generation.
2002-04-04 05:26:13 +00:00
steve
8b9c26598a
Patch to allow user to set place for temporary files.
2002-03-15 23:27:42 +00:00
steve
7c3f5c9fae
Support print of version number.
2002-02-03 07:05:36 +00:00
steve
39ef2b7605
Pass list of file to ivlpp via temporary file.
2001-11-21 02:20:34 +00:00
steve
0be48388c2
Add support for +libext+ in command files.
2001-11-16 05:07:19 +00:00
steve
f50074de50
The +incdir+ plusarg can take multiple directores,
...
and add initial support for +define+ in the command file.
2001-11-13 03:30:26 +00:00
steve
9866fd01bd
Support +incdir in command files, and ignore other
...
+args flags. Also ignore -a and -v flags.
2001-11-12 18:47:32 +00:00
steve
d1ad10c90f
More sophisticated command file parser.
2001-11-12 01:26:36 +00:00
steve
0045d7d1bf
Remov XNF dead wood.
2001-11-11 00:10:05 +00:00
steve
859318becd
The -s flag can now be repeated on the iverilog command.
2001-10-23 00:37:29 +00:00
steve
6466d02eda
Add automatic module libraries.
2001-10-20 23:02:39 +00:00
steve
943527c526
Fix memory fault with -c flag.
2001-10-19 23:10:08 +00:00
steve
9e5dc17c1d
Detect execv failures.
2001-10-11 00:12:49 +00:00
steve
b825f8d2b2
Create a config.h.in file to hold all the config
...
junk, and support gcc 3.0. (Stephan Boettcher)
2001-07-25 03:10:48 +00:00
steve
5f5081827d
Make the vvp target the default.
2001-06-30 21:53:42 +00:00
steve
42adc49e57
Get include and lib paths right for mingw and vvm.
2001-06-30 04:23:02 +00:00
steve
44d4660fe8
Redo the ivl_root calculator for mingw.
2001-06-30 00:59:24 +00:00
steve
066b534307
Get include and lib paths from Makefile.
2001-06-27 02:22:26 +00:00
steve
832b795826
Edit ivl_install_dir only on mingw
2001-06-20 02:25:40 +00:00
steve
b75221e9bf
Fix library path calculation on non Windows systems
...
to include the install directories. (Brendan Simon)
2001-06-15 05:14:21 +00:00
steve
b6e1d63cb0
Change the VPI call process so that loaded .vpi modules
...
use a function table instead of implicit binding.
2001-06-12 03:53:10 +00:00
steve
34dade1b65
Fix WIFEXITED macro.
2001-05-20 18:22:02 +00:00
steve
4e815f8565
local declares if the header is missing.
2001-05-20 18:06:57 +00:00
steve
de8c725890
Mingw32 support (Venkat Iyer)
2001-05-20 15:09:39 +00:00
steve
be7ac7a2ca
Update help message.
2001-05-17 03:14:26 +00:00
steve
506bc87e82
Handle missing or uninstalled .conf files.
2001-04-26 16:04:39 +00:00
steve
90250baf0f
Forgot to actually allow the -p flag.
2001-02-01 17:12:22 +00:00
steve
4e44515078
Switch hte -f flag to the -p flag.
2001-01-20 19:02:04 +00:00
steve
a4de0af79d
apologize for not supporting non-constant delays.
2001-01-20 02:15:50 +00:00
steve
e6151db172
Add command file (-c) support from Nadim Shaikli.
2000-11-30 02:50:54 +00:00
steve
6962841546
Split vpip for everybody.
2000-10-28 17:27:59 +00:00
steve
07d7008853
Use the conf file to generate the vvm ivl string.
2000-10-28 03:45:47 +00:00
steve
7545db5e26
Use the conf file to generate the vvm ivl string.
2000-10-28 03:45:47 +00:00
steve
5ce28c193a
iverilog with an iverilog.conf configuration file.
2000-10-08 22:36:55 +00:00