1999-09-20 04:21:10 +02:00
|
|
|
/*
|
2015-03-03 00:33:31 +01:00
|
|
|
* Copyright (c) 1999-2015 Stephen Williams (steve@icarus.com)
|
2013-04-09 03:35:37 +02:00
|
|
|
* Copyright CERN 2013 / Stephen Williams (steve@icarus.com)
|
1999-09-20 04:21:10 +02:00
|
|
|
*
|
|
|
|
|
* This source code is free software; you can redistribute it
|
|
|
|
|
* and/or modify it in source code form under the terms of the GNU
|
|
|
|
|
* General Public License as published by the Free Software
|
|
|
|
|
* Foundation; either version 2 of the License, or (at your option)
|
|
|
|
|
* any later version.
|
|
|
|
|
*
|
|
|
|
|
* This program is distributed in the hope that it will be useful,
|
|
|
|
|
* but WITHOUT ANY WARRANTY; without even the implied warranty of
|
|
|
|
|
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
|
|
|
|
|
* GNU General Public License for more details.
|
|
|
|
|
*
|
|
|
|
|
* You should have received a copy of the GNU General Public License
|
|
|
|
|
* along with this program; if not, write to the Free Software
|
2012-08-29 03:41:23 +02:00
|
|
|
* Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.
|
1999-09-20 04:21:10 +02:00
|
|
|
*/
|
|
|
|
|
|
2001-07-25 05:10:48 +02:00
|
|
|
# include "config.h"
|
2008-01-05 00:23:47 +01:00
|
|
|
# include <typeinfo>
|
|
|
|
|
# include <cstdlib>
|
|
|
|
|
# include <cstring>
|
2008-10-01 04:49:55 +02:00
|
|
|
# include <climits>
|
2003-03-11 00:40:53 +01:00
|
|
|
# include "compiler.h"
|
1999-09-20 04:21:10 +02:00
|
|
|
|
2013-02-17 23:42:07 +01:00
|
|
|
# include "PPackage.h"
|
1999-09-20 04:21:10 +02:00
|
|
|
# include "pform.h"
|
|
|
|
|
# include "netlist.h"
|
2012-11-25 19:13:05 +01:00
|
|
|
# include "netclass.h"
|
2010-11-06 03:49:28 +01:00
|
|
|
# include "netenum.h"
|
2014-09-08 02:48:19 +02:00
|
|
|
# include "netparray.h"
|
2012-09-15 19:27:43 +02:00
|
|
|
# include "netvector.h"
|
2008-07-31 03:01:41 +02:00
|
|
|
# include "discipline.h"
|
2001-02-10 21:29:39 +01:00
|
|
|
# include "netmisc.h"
|
2012-07-21 17:59:29 +02:00
|
|
|
# include "netdarray.h"
|
2011-12-11 19:28:04 +01:00
|
|
|
# include "netstruct.h"
|
2014-10-31 09:55:36 +01:00
|
|
|
# include "netscalar.h"
|
2001-06-23 21:53:03 +02:00
|
|
|
# include "util.h"
|
2007-03-02 02:55:36 +01:00
|
|
|
# include "ivl_assert.h"
|
1999-09-20 04:21:10 +02:00
|
|
|
|
2008-10-03 07:02:35 +02:00
|
|
|
bool type_is_vectorable(ivl_variable_type_t type)
|
2008-09-23 06:09:06 +02:00
|
|
|
{
|
|
|
|
|
switch (type) {
|
|
|
|
|
case IVL_VT_BOOL:
|
|
|
|
|
case IVL_VT_LOGIC:
|
|
|
|
|
return true;
|
|
|
|
|
default:
|
|
|
|
|
return false;
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2008-11-04 06:10:10 +01:00
|
|
|
static ivl_nature_t find_access_function(const pform_name_t&path)
|
2008-11-03 06:46:27 +01:00
|
|
|
{
|
|
|
|
|
if (path.size() != 1)
|
|
|
|
|
return 0;
|
|
|
|
|
else
|
|
|
|
|
return access_function_nature[peek_tail_name(path)];
|
|
|
|
|
}
|
|
|
|
|
|
2008-11-11 06:19:30 +01:00
|
|
|
/*
|
|
|
|
|
* Look at the signal to see if there is already a branch that
|
|
|
|
|
* connects the sig to the gnd. If there is, then return it. If not,
|
|
|
|
|
* return 0.
|
|
|
|
|
*/
|
|
|
|
|
static NetBranch* find_existing_implicit_branch(NetNet*sig, NetNet*gnd)
|
|
|
|
|
{
|
|
|
|
|
Nexus*nex = sig->pin(0).nexus();
|
|
|
|
|
for (Link*cur = nex->first_nlink() ; cur ; cur = cur->next_nlink()) {
|
|
|
|
|
if (cur->is_equal(sig->pin(0)))
|
|
|
|
|
continue;
|
|
|
|
|
|
|
|
|
|
if (cur->get_pin() != 0)
|
|
|
|
|
continue;
|
|
|
|
|
|
|
|
|
|
NetBranch*tmp = dynamic_cast<NetBranch*> (cur->get_obj());
|
|
|
|
|
if (tmp == 0)
|
|
|
|
|
continue;
|
|
|
|
|
|
|
|
|
|
if (tmp->name())
|
|
|
|
|
continue;
|
|
|
|
|
|
|
|
|
|
if (tmp->pin(1).is_linked(gnd->pin(0)))
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2013-09-29 23:48:42 +02:00
|
|
|
NetExpr* elaborate_rval_expr(Design*des, NetScope*scope, ivl_type_t lv_net_type,
|
2011-02-26 23:59:52 +01:00
|
|
|
ivl_variable_type_t lv_type, unsigned lv_width,
|
2011-03-27 12:08:33 +02:00
|
|
|
PExpr*expr, bool need_const)
|
2008-09-26 05:37:18 +02:00
|
|
|
{
|
2013-09-29 23:48:42 +02:00
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << expr->get_fileline() << ": elaborate_rval_expr: "
|
|
|
|
|
<< "expr=" << *expr;
|
|
|
|
|
if (lv_net_type)
|
|
|
|
|
cerr << ", lv_net_type=" << *lv_net_type;
|
|
|
|
|
else
|
|
|
|
|
cerr << ", lv_net_type=<nil>";
|
|
|
|
|
|
|
|
|
|
cerr << ", lv_type=" << lv_type
|
|
|
|
|
<< ", lv_width=" << lv_width
|
|
|
|
|
<< endl;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
int context_wid = -1;
|
|
|
|
|
switch (lv_type) {
|
2013-09-29 23:48:42 +02:00
|
|
|
case IVL_VT_DARRAY:
|
2014-08-09 16:45:48 +02:00
|
|
|
case IVL_VT_QUEUE:
|
2013-09-29 23:48:42 +02:00
|
|
|
// For these types, use a different elab_and_eval that
|
|
|
|
|
// uses the lv_net_type. We should eventually transition
|
|
|
|
|
// all the types to this new form.
|
|
|
|
|
if (lv_net_type)
|
|
|
|
|
return elab_and_eval(des, scope, expr, lv_net_type, need_const);
|
|
|
|
|
break;
|
2008-09-26 05:37:18 +02:00
|
|
|
case IVL_VT_REAL:
|
2010-11-03 04:16:42 +01:00
|
|
|
case IVL_VT_STRING:
|
2008-09-26 05:37:18 +02:00
|
|
|
break;
|
|
|
|
|
case IVL_VT_BOOL:
|
|
|
|
|
case IVL_VT_LOGIC:
|
2011-02-26 23:59:52 +01:00
|
|
|
context_wid = lv_width;
|
2008-09-26 05:37:18 +02:00
|
|
|
break;
|
|
|
|
|
case IVL_VT_VOID:
|
|
|
|
|
case IVL_VT_NO_TYPE:
|
|
|
|
|
ivl_assert(*expr, 0);
|
|
|
|
|
break;
|
2012-11-12 02:42:31 +01:00
|
|
|
case IVL_VT_CLASS:
|
|
|
|
|
cerr << expr->get_fileline() << ": sorry: "
|
|
|
|
|
<< "I do not know how to elaborate r-value as IVL_VT_CLASS." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
break;
|
2008-09-26 05:37:18 +02:00
|
|
|
}
|
|
|
|
|
|
2013-02-25 21:32:56 +01:00
|
|
|
return elab_and_eval(des, scope, expr, context_wid, need_const,
|
|
|
|
|
false, lv_type);
|
2008-09-26 05:37:18 +02:00
|
|
|
}
|
|
|
|
|
|
2006-10-30 06:44:49 +01:00
|
|
|
/*
|
2013-10-28 23:07:09 +01:00
|
|
|
* If the mode is UPSIZE, make sure the final expression width is at
|
2011-02-26 23:59:52 +01:00
|
|
|
* least integer_width, but return the calculated lossless width to
|
|
|
|
|
* the caller.
|
2006-10-30 06:44:49 +01:00
|
|
|
*/
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned PExpr::fix_width_(width_mode_t mode)
|
2006-10-30 06:44:49 +01:00
|
|
|
{
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned width = expr_width_;
|
2013-10-28 23:07:09 +01:00
|
|
|
if ((mode == UPSIZE) && type_is_vectorable(expr_type_)
|
2011-03-03 01:28:53 +01:00
|
|
|
&& (width < integer_width))
|
2011-02-26 23:59:52 +01:00
|
|
|
expr_width_ = integer_width;
|
|
|
|
|
|
|
|
|
|
return width;
|
2006-10-30 06:44:49 +01:00
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned PExpr::test_width(Design*des, NetScope*, width_mode_t&)
|
2000-03-08 05:36:53 +01:00
|
|
|
{
|
2011-02-26 23:59:52 +01:00
|
|
|
cerr << get_fileline() << ": internal error: I do not know how to"
|
|
|
|
|
<< " test the width of this expression. " << endl;
|
|
|
|
|
cerr << get_fileline() << ": : Expression is: " << *this
|
|
|
|
|
<< endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 1;
|
|
|
|
|
}
|
|
|
|
|
|
2012-10-01 03:03:10 +02:00
|
|
|
NetExpr* PExpr::elaborate_expr(Design*des, NetScope*, ivl_type_t, unsigned) const
|
|
|
|
|
{
|
|
|
|
|
cerr << get_fileline() << ": internal error: I do not know how to"
|
|
|
|
|
<< " elaborate (ivl_type_t) this expression. " << endl;
|
|
|
|
|
cerr << get_fileline() << ": : Expression is: " << *this
|
|
|
|
|
<< endl;
|
2013-01-27 21:30:38 +01:00
|
|
|
cerr << get_fileline() << ": : Expression type: " << typeid(*this).name() << endl;
|
2012-10-01 03:03:10 +02:00
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr* PExpr::elaborate_expr(Design*des, NetScope*, unsigned, unsigned) const
|
2011-02-26 23:59:52 +01:00
|
|
|
{
|
|
|
|
|
cerr << get_fileline() << ": internal error: I do not know how to"
|
|
|
|
|
<< " elaborate this expression. " << endl;
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": : Expression is: " << *this
|
2000-12-10 23:01:35 +01:00
|
|
|
<< endl;
|
|
|
|
|
des->errors += 1;
|
2000-03-08 05:36:53 +01:00
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2013-09-29 23:48:42 +02:00
|
|
|
/*
|
2014-01-31 01:16:19 +01:00
|
|
|
* For now, assume that assignment patterns are for dynamic
|
2013-09-29 23:48:42 +02:00
|
|
|
* objects. This is not really true as this expression type, fully
|
|
|
|
|
* supported, can assign to packed arrays and structs, unpacked arrays
|
|
|
|
|
* and dynamic arrays.
|
|
|
|
|
*/
|
2013-12-16 02:49:57 +01:00
|
|
|
unsigned PEAssignPattern::test_width(Design*, NetScope*, width_mode_t&)
|
2013-09-29 23:48:42 +02:00
|
|
|
{
|
|
|
|
|
expr_type_ = IVL_VT_DARRAY;
|
|
|
|
|
expr_width_ = 1;
|
|
|
|
|
min_width_ = 1;
|
|
|
|
|
signed_flag_= false;
|
|
|
|
|
return 1;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
NetExpr*PEAssignPattern::elaborate_expr(Design*des, NetScope*scope,
|
|
|
|
|
ivl_type_t ntype, unsigned flags) const
|
|
|
|
|
{
|
2013-09-30 00:05:32 +02:00
|
|
|
// Special case: If this is an empty pattern (i.e. '{}) and
|
|
|
|
|
// the expected type is a DARRAY, then convert this to a null
|
|
|
|
|
// handle. Internally, Icarus Verilog uses this to represent
|
|
|
|
|
// nil dynamic arrays.
|
|
|
|
|
if (parms_.size() == 0 && ntype->base_type()==IVL_VT_DARRAY) {
|
|
|
|
|
NetENull*tmp = new NetENull;
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2013-10-10 05:15:10 +02:00
|
|
|
if (ntype->base_type()==IVL_VT_DARRAY)
|
|
|
|
|
return elaborate_expr_darray_(des, scope, ntype, flags);
|
|
|
|
|
|
2013-09-29 23:48:42 +02:00
|
|
|
cerr << get_fileline() << ": sorry: I don't know how to elaborate "
|
|
|
|
|
<< "assignment_pattern expressions yet." << endl;
|
|
|
|
|
cerr << get_fileline() << ": : Expression is: " << *this
|
|
|
|
|
<< endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2013-10-10 05:15:10 +02:00
|
|
|
NetExpr*PEAssignPattern::elaborate_expr_darray_(Design*des, NetScope*scope,
|
|
|
|
|
ivl_type_t ntype, unsigned flags) const
|
|
|
|
|
{
|
|
|
|
|
const netdarray_t*array_type = dynamic_cast<const netdarray_t*> (ntype);
|
|
|
|
|
ivl_assert(*this, array_type);
|
|
|
|
|
|
|
|
|
|
// This is an array pattern, so run through the elements of
|
|
|
|
|
// the expression and elaborate each as if they are
|
|
|
|
|
// element_type expressions.
|
|
|
|
|
ivl_type_t elem_type = array_type->element_type();
|
|
|
|
|
vector<NetExpr*> elem_exprs (parms_.size());
|
|
|
|
|
for (size_t idx = 0 ; idx < parms_.size() ; idx += 1) {
|
|
|
|
|
NetExpr*tmp = parms_[idx]->elaborate_expr(des, scope, elem_type, flags);
|
|
|
|
|
elem_exprs[idx] = tmp;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
NetEArrayPattern*res = new NetEArrayPattern(array_type, elem_exprs);
|
|
|
|
|
res->set_line(*this);
|
|
|
|
|
return res;
|
|
|
|
|
}
|
|
|
|
|
|
2013-09-29 23:48:42 +02:00
|
|
|
NetExpr* PEAssignPattern::elaborate_expr(Design*des, NetScope*, unsigned, unsigned) const
|
|
|
|
|
{
|
|
|
|
|
cerr << get_fileline() << ": sorry: I do not know how to"
|
|
|
|
|
<< " elaborate assignment patterns using old method." << endl;
|
|
|
|
|
cerr << get_fileline() << ": : Expression is: " << *this
|
|
|
|
|
<< endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
ivl_assert(*this, 0);
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
2011-02-26 23:59:52 +01:00
|
|
|
|
|
|
|
|
unsigned PEBinary::test_width(Design*des, NetScope*scope, width_mode_t&mode)
|
2006-10-30 06:44:49 +01:00
|
|
|
{
|
2011-02-26 23:59:52 +01:00
|
|
|
ivl_assert(*this, left_);
|
|
|
|
|
ivl_assert(*this, right_);
|
2008-09-27 07:20:11 +02:00
|
|
|
|
2013-10-28 23:55:10 +01:00
|
|
|
unsigned r_width = right_->test_width(des, scope, mode);
|
2008-10-14 07:06:03 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
width_mode_t saved_mode = mode;
|
2008-10-05 05:01:19 +02:00
|
|
|
|
2013-10-28 23:55:10 +01:00
|
|
|
unsigned l_width = left_->test_width(des, scope, mode);
|
2006-10-30 06:44:49 +01:00
|
|
|
|
2014-11-25 23:49:04 +01:00
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PEBinary::test_width: "
|
|
|
|
|
<< "op_=" << op_ << ", l_width=" << l_width
|
|
|
|
|
<< ", r_width=" << r_width
|
|
|
|
|
<< ", saved_mode=" << saved_mode << endl;
|
|
|
|
|
}
|
|
|
|
|
|
2013-10-28 23:55:10 +01:00
|
|
|
// If the width mode changed, retest the right operand, as it
|
2013-10-28 23:07:09 +01:00
|
|
|
// may choose a different width if it is in a lossless context.
|
2011-02-26 23:59:52 +01:00
|
|
|
if ((mode >= LOSSLESS) && (saved_mode < LOSSLESS))
|
2013-10-28 23:55:10 +01:00
|
|
|
r_width = right_->test_width(des, scope, mode);
|
2008-10-05 05:01:19 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
ivl_variable_type_t l_type = left_->expr_type();
|
|
|
|
|
ivl_variable_type_t r_type = right_->expr_type();
|
2006-10-30 06:44:49 +01:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
if (l_type == IVL_VT_REAL || r_type == IVL_VT_REAL)
|
2008-10-11 05:42:07 +02:00
|
|
|
expr_type_ = IVL_VT_REAL;
|
2011-02-26 23:59:52 +01:00
|
|
|
else if (l_type == IVL_VT_LOGIC || r_type == IVL_VT_LOGIC)
|
2008-10-11 05:42:07 +02:00
|
|
|
expr_type_ = IVL_VT_LOGIC;
|
2008-09-27 07:20:11 +02:00
|
|
|
else
|
2008-10-11 05:42:07 +02:00
|
|
|
expr_type_ = IVL_VT_BOOL;
|
2008-09-27 07:20:11 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
if (expr_type_ == IVL_VT_REAL) {
|
|
|
|
|
expr_width_ = 1;
|
|
|
|
|
min_width_ = 1;
|
|
|
|
|
signed_flag_ = true;
|
|
|
|
|
} else {
|
|
|
|
|
expr_width_ = max(l_width, r_width);
|
|
|
|
|
min_width_ = max(left_->min_width(), right_->min_width());
|
|
|
|
|
signed_flag_ = left_->has_sign() && right_->has_sign();
|
|
|
|
|
|
|
|
|
|
// If the operands are different types, the expression is
|
|
|
|
|
// forced to unsigned. In this case the lossless width
|
|
|
|
|
// calculation is unreliable and we need to make sure the
|
|
|
|
|
// final expression width is at least integer_width.
|
|
|
|
|
if ((mode == LOSSLESS) && (left_->has_sign() != right_->has_sign()))
|
2013-10-28 23:07:09 +01:00
|
|
|
mode = UPSIZE;
|
2011-02-26 23:59:52 +01:00
|
|
|
|
|
|
|
|
switch (op_) {
|
|
|
|
|
case '+':
|
|
|
|
|
case '-':
|
2013-10-28 23:07:09 +01:00
|
|
|
if (mode >= EXPAND)
|
2011-02-26 23:59:52 +01:00
|
|
|
expr_width_ += 1;
|
|
|
|
|
break;
|
|
|
|
|
|
|
|
|
|
case '*':
|
2013-10-28 23:07:09 +01:00
|
|
|
if (mode >= EXPAND)
|
2011-02-26 23:59:52 +01:00
|
|
|
expr_width_ = l_width + r_width;
|
|
|
|
|
break;
|
|
|
|
|
|
|
|
|
|
case '%':
|
|
|
|
|
case '/':
|
2014-08-25 21:27:22 +02:00
|
|
|
min_width_ = UINT_MAX; // disable width pruning
|
2011-02-26 23:59:52 +01:00
|
|
|
break;
|
|
|
|
|
|
|
|
|
|
case 'l': // << Should be handled by PEBShift
|
2013-07-29 19:21:54 +02:00
|
|
|
case 'r': // >> Should be handled by PEBShift
|
|
|
|
|
case 'R': // >>> Should be handled by PEBShift
|
2011-02-26 23:59:52 +01:00
|
|
|
case '<': // < Should be handled by PEBComp
|
|
|
|
|
case '>': // > Should be handled by PEBComp
|
|
|
|
|
case 'e': // == Should be handled by PEBComp
|
|
|
|
|
case 'E': // === Should be handled by PEBComp
|
|
|
|
|
case 'L': // <= Should be handled by PEBComp
|
|
|
|
|
case 'G': // >= Should be handled by PEBComp
|
|
|
|
|
case 'n': // != Should be handled by PEBComp
|
|
|
|
|
case 'N': // !== Should be handled by PEBComp
|
|
|
|
|
case 'p': // ** should be handled by PEBPower
|
|
|
|
|
ivl_assert(*this, 0);
|
|
|
|
|
default:
|
|
|
|
|
break;
|
|
|
|
|
}
|
2006-10-30 06:44:49 +01:00
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
return fix_width_(mode);
|
2006-10-30 06:44:49 +01:00
|
|
|
}
|
|
|
|
|
|
1999-09-30 00:57:10 +02:00
|
|
|
/*
|
|
|
|
|
* Elaborate binary expressions. This involves elaborating the left
|
|
|
|
|
* and right sides, and creating one of a variety of different NetExpr
|
2004-10-04 03:10:51 +02:00
|
|
|
* types.
|
1999-09-30 00:57:10 +02:00
|
|
|
*/
|
2008-04-22 20:23:24 +02:00
|
|
|
NetExpr* PEBinary::elaborate_expr(Design*des, NetScope*scope,
|
2011-03-27 12:08:33 +02:00
|
|
|
unsigned expr_wid, unsigned flags) const
|
1999-09-30 00:57:10 +02:00
|
|
|
{
|
2011-04-15 23:44:05 +02:00
|
|
|
flags &= ~SYS_TASK_ARG; // don't propagate the SYS_TASK_ARG flag
|
2011-03-27 12:08:33 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
ivl_assert(*this, left_);
|
|
|
|
|
ivl_assert(*this, right_);
|
2001-11-19 02:54:14 +01:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
// Handle the special case that one of the operands is a real
|
|
|
|
|
// value and the other is a vector type. In that case,
|
|
|
|
|
// elaborate the vectorable argument as self-determined.
|
|
|
|
|
// Propagate the expression type (signed/unsigned) down to
|
|
|
|
|
// any context-determined operands.
|
|
|
|
|
unsigned l_width = expr_wid;
|
|
|
|
|
unsigned r_width = expr_wid;
|
|
|
|
|
if (left_->expr_type()==IVL_VT_REAL
|
|
|
|
|
&& type_is_vectorable(right_->expr_type())) {
|
|
|
|
|
r_width = right_->expr_width();
|
|
|
|
|
} else {
|
|
|
|
|
right_->cast_signed(signed_flag_);
|
|
|
|
|
}
|
|
|
|
|
if (right_->expr_type()==IVL_VT_REAL
|
|
|
|
|
&& type_is_vectorable(left_->expr_type())) {
|
|
|
|
|
l_width = left_->expr_width();
|
|
|
|
|
} else {
|
|
|
|
|
left_->cast_signed(signed_flag_);
|
2010-04-24 00:29:06 +02:00
|
|
|
}
|
|
|
|
|
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr*lp = left_->elaborate_expr(des, scope, l_width, flags);
|
|
|
|
|
NetExpr*rp = right_->elaborate_expr(des, scope, r_width, flags);
|
1999-09-30 00:57:10 +02:00
|
|
|
if ((lp == 0) || (rp == 0)) {
|
|
|
|
|
delete lp;
|
|
|
|
|
delete rp;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2006-11-04 07:19:24 +01:00
|
|
|
return elaborate_expr_base_(des, lp, rp, expr_wid);
|
2000-03-12 19:22:11 +01:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
/*
|
2011-02-26 23:59:52 +01:00
|
|
|
* This is the common elaboration of the operator. It presumes that the
|
2000-03-12 19:22:11 +01:00
|
|
|
* operands are elaborated as necessary, and all I need to do is make
|
|
|
|
|
* the correct NetEBinary object and connect the parameters.
|
|
|
|
|
*/
|
2008-04-22 20:23:24 +02:00
|
|
|
NetExpr* PEBinary::elaborate_expr_base_(Design*des,
|
2008-08-21 06:47:07 +02:00
|
|
|
NetExpr*lp, NetExpr*rp,
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned expr_wid) const
|
2000-03-12 19:22:11 +01:00
|
|
|
{
|
2007-10-09 04:58:49 +02:00
|
|
|
if (debug_elaborate) {
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": debug: elaborate expression "
|
2011-02-26 23:59:52 +01:00
|
|
|
<< *this << " expr_width=" << expr_wid << endl;
|
2007-10-09 04:58:49 +02:00
|
|
|
}
|
|
|
|
|
|
2008-04-22 20:23:24 +02:00
|
|
|
NetExpr*tmp;
|
2000-03-12 19:22:11 +01:00
|
|
|
|
1999-09-30 00:57:10 +02:00
|
|
|
switch (op_) {
|
|
|
|
|
default:
|
2011-02-26 23:59:52 +01:00
|
|
|
tmp = new NetEBinary(op_, lp, rp, expr_wid, signed_flag_);
|
1999-09-30 00:57:10 +02:00
|
|
|
tmp->set_line(*this);
|
|
|
|
|
break;
|
|
|
|
|
|
|
|
|
|
case 'a':
|
|
|
|
|
case 'o':
|
2008-10-30 04:31:26 +01:00
|
|
|
cerr << get_fileline() << ": internal error: "
|
|
|
|
|
<< "Elaboration of " << human_readable_op(op_)
|
|
|
|
|
<< " Should have been handled in NetEBLogic::elaborate."
|
|
|
|
|
<< endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
1999-09-30 00:57:10 +02:00
|
|
|
|
2006-07-31 05:50:17 +02:00
|
|
|
case 'p':
|
2011-02-26 23:59:52 +01:00
|
|
|
cerr << get_fileline() << ": internal error: "
|
|
|
|
|
<< "Elaboration of " << human_readable_op(op_)
|
|
|
|
|
<< " Should have been handled in NetEBPower::elaborate."
|
|
|
|
|
<< endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
2006-07-31 05:50:17 +02:00
|
|
|
|
2000-01-13 04:35:35 +01:00
|
|
|
case '*':
|
2010-12-05 23:35:30 +01:00
|
|
|
tmp = elaborate_expr_base_mult_(des, lp, rp, expr_wid);
|
2000-01-13 04:35:35 +01:00
|
|
|
break;
|
|
|
|
|
|
2000-04-28 20:43:23 +02:00
|
|
|
case '%':
|
2006-08-09 07:19:08 +02:00
|
|
|
case '/':
|
2010-12-05 23:35:30 +01:00
|
|
|
tmp = elaborate_expr_base_div_(des, lp, rp, expr_wid);
|
2000-04-28 20:43:23 +02:00
|
|
|
break;
|
|
|
|
|
|
2008-11-28 23:40:25 +01:00
|
|
|
case 'l':
|
|
|
|
|
case 'r':
|
|
|
|
|
case 'R':
|
|
|
|
|
cerr << get_fileline() << ": internal error: "
|
|
|
|
|
<< "Elaboration of " << human_readable_op(op_)
|
|
|
|
|
<< " Should have been handled in NetEBShift::elaborate."
|
|
|
|
|
<< endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
1999-09-30 00:57:10 +02:00
|
|
|
|
|
|
|
|
case '^':
|
|
|
|
|
case '&':
|
|
|
|
|
case '|':
|
2002-09-18 06:08:45 +02:00
|
|
|
case 'O': // NOR (~|)
|
2002-09-12 17:49:43 +02:00
|
|
|
case 'A': // NAND (~&)
|
1999-09-30 04:43:01 +02:00
|
|
|
case 'X':
|
2008-10-01 06:35:09 +02:00
|
|
|
tmp = elaborate_expr_base_bits_(des, lp, rp, expr_wid);
|
1999-09-30 00:57:10 +02:00
|
|
|
break;
|
|
|
|
|
|
|
|
|
|
case '+':
|
|
|
|
|
case '-':
|
2011-02-26 23:59:52 +01:00
|
|
|
tmp = new NetEBAdd(op_, lp, rp, expr_wid, signed_flag_);
|
|
|
|
|
tmp->set_line(*this);
|
1999-09-30 00:57:10 +02:00
|
|
|
break;
|
|
|
|
|
|
|
|
|
|
case 'E': /* === */
|
|
|
|
|
case 'N': /* !== */
|
2005-09-01 06:10:47 +02:00
|
|
|
case 'e': /* == */
|
|
|
|
|
case 'n': /* != */
|
1999-09-30 00:57:10 +02:00
|
|
|
case 'L': /* <= */
|
|
|
|
|
case 'G': /* >= */
|
|
|
|
|
case '<':
|
|
|
|
|
case '>':
|
2008-10-30 03:34:44 +01:00
|
|
|
cerr << get_fileline() << ": internal error: "
|
|
|
|
|
<< "Elaboration of " << human_readable_op(op_)
|
|
|
|
|
<< " Should have been handled in NetEBComp::elaborate."
|
|
|
|
|
<< endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
2008-05-04 06:54:42 +02:00
|
|
|
|
|
|
|
|
case 'm': // min(l,r)
|
|
|
|
|
case 'M': // max(l,r)
|
2011-02-26 23:59:52 +01:00
|
|
|
tmp = new NetEBMinMax(op_, lp, rp, expr_wid, signed_flag_);
|
2008-05-04 06:54:42 +02:00
|
|
|
tmp->set_line(*this);
|
|
|
|
|
break;
|
1999-09-30 00:57:10 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2008-10-01 06:35:09 +02:00
|
|
|
NetExpr* PEBinary::elaborate_expr_base_bits_(Design*des,
|
|
|
|
|
NetExpr*lp, NetExpr*rp,
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned expr_wid) const
|
2008-10-01 06:35:09 +02:00
|
|
|
{
|
2009-02-14 03:25:54 +01:00
|
|
|
if (lp->expr_type() == IVL_VT_REAL || rp->expr_type() == IVL_VT_REAL) {
|
|
|
|
|
cerr << get_fileline() << ": error: "
|
|
|
|
|
<< human_readable_op(op_)
|
|
|
|
|
<< " operator may not have REAL operands." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
NetEBBits*tmp = new NetEBBits(op_, lp, rp, expr_wid, signed_flag_);
|
2008-10-01 06:35:09 +02:00
|
|
|
tmp->set_line(*this);
|
|
|
|
|
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2008-09-26 05:37:18 +02:00
|
|
|
NetExpr* PEBinary::elaborate_expr_base_div_(Design*des,
|
|
|
|
|
NetExpr*lp, NetExpr*rp,
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned expr_wid) const
|
2008-09-26 05:37:18 +02:00
|
|
|
{
|
|
|
|
|
/* The % operator does not support real arguments in
|
|
|
|
|
baseline Verilog. But we allow it in our extended
|
|
|
|
|
form of Verilog. */
|
|
|
|
|
if (op_ == '%' && ! gn_icarus_misc_flag) {
|
2009-02-14 03:25:54 +01:00
|
|
|
if (lp->expr_type() == IVL_VT_REAL ||
|
|
|
|
|
rp->expr_type() == IVL_VT_REAL) {
|
2008-09-26 05:37:18 +02:00
|
|
|
cerr << get_fileline() << ": error: Modulus operator "
|
|
|
|
|
"may not have REAL operands." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
NetEBDiv*tmp = new NetEBDiv(op_, lp, rp, expr_wid, signed_flag_);
|
2008-09-26 05:37:18 +02:00
|
|
|
tmp->set_line(*this);
|
|
|
|
|
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2010-11-01 22:37:06 +01:00
|
|
|
NetExpr* PEBinary::elaborate_expr_base_mult_(Design*,
|
2008-09-20 07:00:29 +02:00
|
|
|
NetExpr*lp, NetExpr*rp,
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned expr_wid) const
|
2008-09-20 07:00:29 +02:00
|
|
|
{
|
2008-10-05 05:01:19 +02:00
|
|
|
// Keep constants on the right side.
|
|
|
|
|
if (dynamic_cast<NetEConst*>(lp)) {
|
|
|
|
|
NetExpr*tmp = lp;
|
|
|
|
|
lp = rp;
|
|
|
|
|
rp = tmp;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// Handle a few special case multiplies against constants.
|
|
|
|
|
if (NetEConst*rp_const = dynamic_cast<NetEConst*> (rp)) {
|
|
|
|
|
verinum rp_val = rp_const->value();
|
|
|
|
|
|
2014-06-20 21:52:15 +02:00
|
|
|
if (!rp_val.is_defined() && (lp->expr_type() == IVL_VT_LOGIC)) {
|
2011-02-26 23:59:52 +01:00
|
|
|
NetEConst*tmp = make_const_x(expr_wid);
|
|
|
|
|
tmp->cast_signed(signed_flag_);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
|
2008-10-05 05:01:19 +02:00
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2014-06-20 21:52:15 +02:00
|
|
|
if (rp_val.is_zero() && (lp->expr_type() == IVL_VT_BOOL)) {
|
2011-02-26 23:59:52 +01:00
|
|
|
NetEConst*tmp = make_const_0(expr_wid);
|
|
|
|
|
tmp->cast_signed(signed_flag_);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
|
2008-10-05 05:01:19 +02:00
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
NetEBMult*tmp = new NetEBMult(op_, lp, rp, expr_wid, signed_flag_);
|
2008-09-20 07:00:29 +02:00
|
|
|
tmp->set_line(*this);
|
|
|
|
|
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned PEBComp::test_width(Design*des, NetScope*scope, width_mode_t&)
|
2008-08-27 06:33:24 +02:00
|
|
|
{
|
2011-02-26 23:59:52 +01:00
|
|
|
ivl_assert(*this, left_);
|
|
|
|
|
ivl_assert(*this, right_);
|
2008-09-23 06:09:06 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
// The width and type of a comparison are fixed and well known.
|
|
|
|
|
expr_type_ = IVL_VT_LOGIC;
|
|
|
|
|
expr_width_ = 1;
|
|
|
|
|
min_width_ = 1;
|
|
|
|
|
signed_flag_ = false;
|
2008-09-23 06:09:06 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
// The widths of the operands are semi-self-determined. They
|
|
|
|
|
// affect each other, but not the result.
|
|
|
|
|
width_mode_t mode = SIZED;
|
2008-10-11 17:39:06 +02:00
|
|
|
|
2013-10-28 23:55:10 +01:00
|
|
|
unsigned r_width = right_->test_width(des, scope, mode);
|
2008-09-30 06:02:37 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
width_mode_t saved_mode = mode;
|
2008-08-27 06:33:24 +02:00
|
|
|
|
2013-10-28 23:55:10 +01:00
|
|
|
unsigned l_width = left_->test_width(des, scope, mode);
|
2006-10-30 06:44:49 +01:00
|
|
|
|
2013-10-28 23:55:10 +01:00
|
|
|
// If the width mode changed, retest the right operand, as it
|
2013-10-28 23:07:09 +01:00
|
|
|
// may choose a different width if it is in a lossless context.
|
|
|
|
|
if ((mode >= LOSSLESS) && (saved_mode < LOSSLESS))
|
2013-10-28 23:55:10 +01:00
|
|
|
r_width = right_->test_width(des, scope, mode);
|
2006-11-04 07:19:24 +01:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
ivl_variable_type_t l_type = left_->expr_type();
|
|
|
|
|
ivl_variable_type_t r_type = right_->expr_type();
|
2006-11-04 07:19:24 +01:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
l_width_ = l_width;
|
|
|
|
|
if (type_is_vectorable(l_type) && (r_width > l_width))
|
|
|
|
|
l_width_ = r_width;
|
2008-11-16 06:42:02 +01:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
r_width_ = r_width;
|
|
|
|
|
if (type_is_vectorable(r_type) && (l_width > r_width))
|
|
|
|
|
r_width_ = l_width;
|
2010-04-27 20:55:59 +02:00
|
|
|
|
2013-10-28 23:07:09 +01:00
|
|
|
// If the expression is lossless and smaller than the integer
|
2010-04-27 20:55:59 +02:00
|
|
|
// minimum, then tweak the size up.
|
|
|
|
|
// NOTE: I really would rather try to figure out what it would
|
|
|
|
|
// take to get expand the sub-expressions so that they are
|
|
|
|
|
// exactly the right width to behave just like infinite
|
|
|
|
|
// width. I suspect that adding 1 more is sufficient in all
|
|
|
|
|
// cases, but I'm not certain. Ideas?
|
2013-10-28 23:07:09 +01:00
|
|
|
if (mode >= EXPAND) {
|
2011-02-26 23:59:52 +01:00
|
|
|
if (type_is_vectorable(l_type) && (l_width_ < integer_width))
|
|
|
|
|
l_width_ += 1;
|
|
|
|
|
if (type_is_vectorable(r_type) && (r_width_ < integer_width))
|
|
|
|
|
r_width_ += 1;
|
|
|
|
|
}
|
2006-11-04 07:19:24 +01:00
|
|
|
|
|
|
|
|
if (debug_elaborate) {
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": debug: "
|
2006-11-04 07:19:24 +01:00
|
|
|
<< "Comparison expression operands are "
|
2011-02-26 23:59:52 +01:00
|
|
|
<< l_type << " " << l_width << " bits and "
|
|
|
|
|
<< r_type << " " << r_width << " bits. Resorting to "
|
|
|
|
|
<< l_width_ << " bits and "
|
|
|
|
|
<< r_width_ << " bits." << endl;
|
2006-11-04 07:19:24 +01:00
|
|
|
}
|
2006-10-30 06:44:49 +01:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
return expr_width_;
|
2010-04-27 20:55:59 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
NetExpr* PEBComp::elaborate_expr(Design*des, NetScope*scope,
|
2011-03-27 12:08:33 +02:00
|
|
|
unsigned expr_wid, unsigned flags) const
|
2010-04-27 20:55:59 +02:00
|
|
|
{
|
2011-04-15 23:44:05 +02:00
|
|
|
flags &= ~SYS_TASK_ARG; // don't propagate the SYS_TASK_ARG flag
|
2011-03-27 12:08:33 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
ivl_assert(*this, left_);
|
|
|
|
|
ivl_assert(*this, right_);
|
2010-04-27 20:55:59 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
// Propagate the comparison type (signed/unsigned) down to
|
|
|
|
|
// the operands.
|
|
|
|
|
if (type_is_vectorable(left_->expr_type()) && !left_->has_sign())
|
|
|
|
|
right_->cast_signed(false);
|
|
|
|
|
if (type_is_vectorable(right_->expr_type()) && !right_->has_sign())
|
|
|
|
|
left_->cast_signed(false);
|
|
|
|
|
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr*lp = left_->elaborate_expr(des, scope, l_width_, flags);
|
|
|
|
|
NetExpr*rp = right_->elaborate_expr(des, scope, r_width_, flags);
|
2006-10-30 06:44:49 +01:00
|
|
|
if ((lp == 0) || (rp == 0)) {
|
|
|
|
|
delete lp;
|
|
|
|
|
delete rp;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
eval_expr(lp, l_width_);
|
|
|
|
|
eval_expr(rp, r_width_);
|
2008-10-30 03:34:44 +01:00
|
|
|
|
|
|
|
|
// Handle some operand-specific special cases...
|
|
|
|
|
switch (op_) {
|
|
|
|
|
case 'E': /* === */
|
|
|
|
|
case 'N': /* !== */
|
|
|
|
|
if (lp->expr_type() == IVL_VT_REAL ||
|
2015-03-03 00:33:31 +01:00
|
|
|
lp->expr_type() == IVL_VT_STRING ||
|
|
|
|
|
rp->expr_type() == IVL_VT_REAL ||
|
|
|
|
|
rp->expr_type() == IVL_VT_STRING) {
|
|
|
|
|
cerr << get_fileline() << ": error: "
|
|
|
|
|
<< human_readable_op(op_)
|
|
|
|
|
<< " operator may not have REAL or STRING operands."
|
|
|
|
|
<< endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
2008-10-30 03:34:44 +01:00
|
|
|
break;
|
|
|
|
|
default:
|
|
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
NetExpr*tmp = new NetEBComp(op_, lp, rp);
|
2008-10-30 03:34:44 +01:00
|
|
|
tmp->set_line(*this);
|
2011-02-26 23:59:52 +01:00
|
|
|
|
|
|
|
|
tmp = pad_to_width(tmp, expr_wid, *this);
|
|
|
|
|
tmp->cast_signed(signed_flag_);
|
2008-10-30 03:34:44 +01:00
|
|
|
|
|
|
|
|
return tmp;
|
2006-10-30 06:44:49 +01:00
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned PEBLogic::test_width(Design*, NetScope*, width_mode_t&)
|
2008-10-30 04:31:26 +01:00
|
|
|
{
|
2011-02-26 23:59:52 +01:00
|
|
|
// The width and type of a logical operation are fixed.
|
|
|
|
|
expr_type_ = IVL_VT_LOGIC;
|
|
|
|
|
expr_width_ = 1;
|
|
|
|
|
min_width_ = 1;
|
|
|
|
|
signed_flag_ = false;
|
|
|
|
|
|
|
|
|
|
// The widths of the operands are self determined. We don't need
|
|
|
|
|
// them now, so they can be tested when they are elaborated.
|
|
|
|
|
|
2008-10-30 04:31:26 +01:00
|
|
|
return expr_width_;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
NetExpr*PEBLogic::elaborate_expr(Design*des, NetScope*scope,
|
2011-03-27 12:08:33 +02:00
|
|
|
unsigned expr_wid, unsigned flags) const
|
2008-10-30 04:31:26 +01:00
|
|
|
{
|
2011-02-26 23:59:52 +01:00
|
|
|
ivl_assert(*this, left_);
|
|
|
|
|
ivl_assert(*this, right_);
|
2008-10-30 04:31:26 +01:00
|
|
|
|
2011-03-27 12:08:33 +02:00
|
|
|
bool need_const = NEED_CONST & flags;
|
|
|
|
|
NetExpr*lp = elab_and_eval(des, scope, left_, -1, need_const);
|
|
|
|
|
NetExpr*rp = elab_and_eval(des, scope, right_, -1, need_const);
|
2008-10-30 04:31:26 +01:00
|
|
|
if ((lp == 0) || (rp == 0)) {
|
|
|
|
|
delete lp;
|
|
|
|
|
delete rp;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
lp = condition_reduce(lp);
|
|
|
|
|
rp = condition_reduce(rp);
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
NetExpr*tmp = new NetEBLogic(op_, lp, rp);
|
2008-10-30 04:31:26 +01:00
|
|
|
tmp->set_line(*this);
|
2011-02-26 23:59:52 +01:00
|
|
|
|
|
|
|
|
tmp = pad_to_width(tmp, expr_wid, *this);
|
|
|
|
|
tmp->cast_signed(signed_flag_);
|
2008-10-30 04:31:26 +01:00
|
|
|
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned PEBLeftWidth::test_width(Design*des, NetScope*scope, width_mode_t&mode)
|
2006-10-30 06:44:49 +01:00
|
|
|
{
|
2011-02-26 23:59:52 +01:00
|
|
|
ivl_assert(*this, left_);
|
|
|
|
|
ivl_assert(*this, right_);
|
2006-10-30 06:44:49 +01:00
|
|
|
|
2014-11-25 23:49:04 +01:00
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PEBLeftWidth::test_width: "
|
|
|
|
|
<< "op_=" << op_
|
|
|
|
|
<< ", left_=" << *left_
|
|
|
|
|
<< ", right_=" << *right_
|
|
|
|
|
<< ", mode=" << width_mode_name(mode) << endl;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
// The right operand is self determined. Test its type and
|
|
|
|
|
// width for use later. We only need to know its width now
|
|
|
|
|
// if the left operand is unsized and we need to calculate
|
|
|
|
|
// the lossless width.
|
|
|
|
|
width_mode_t r_mode = SIZED;
|
|
|
|
|
unsigned r_width = right_->test_width(des, scope, r_mode);
|
2006-10-30 06:44:49 +01:00
|
|
|
|
2014-11-25 23:49:04 +01:00
|
|
|
// The left operand is what will determine the size of the
|
|
|
|
|
// expression. The l_mode will be converted to UNSIZED if the
|
|
|
|
|
// expression does not have a well-determined size.
|
|
|
|
|
width_mode_t l_mode = SIZED;
|
|
|
|
|
expr_width_ = left_->test_width(des, scope, l_mode);
|
2011-02-26 23:59:52 +01:00
|
|
|
expr_type_ = left_->expr_type();
|
|
|
|
|
signed_flag_ = left_->has_sign();
|
2008-08-27 06:33:24 +02:00
|
|
|
|
2014-11-25 23:49:04 +01:00
|
|
|
if (mode==SIZED)
|
|
|
|
|
mode = l_mode;
|
|
|
|
|
|
|
|
|
|
// The left operand width defines the size of the
|
|
|
|
|
// expression. If the expression has a well-defined size, the
|
|
|
|
|
// left_->test_width() above would have set mode==SIZED and we
|
|
|
|
|
// can skip a lot of stuff. But if the mode is an undetermined
|
|
|
|
|
// size, we need to figure out what we really want to keep a
|
|
|
|
|
// lossless value. That's what the following if(...) {...} is
|
|
|
|
|
// all about.
|
2013-10-28 23:07:09 +01:00
|
|
|
if ((mode >= EXPAND) && type_is_vectorable(expr_type_)) {
|
2014-11-25 23:49:04 +01:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
// We need to make our best guess at the right operand
|
2014-01-31 01:16:19 +01:00
|
|
|
// value, to minimize the calculated width. This is
|
2011-02-26 23:59:52 +01:00
|
|
|
// particularly important for the power operator...
|
2010-10-02 20:02:27 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
// Start off by assuming the maximum value for the
|
|
|
|
|
// type and width of the right operand.
|
|
|
|
|
long r_val = LONG_MAX;
|
|
|
|
|
if (r_width < sizeof(long)*8) {
|
|
|
|
|
r_val = (1L << r_width) - 1L;
|
2011-04-11 23:20:52 +02:00
|
|
|
if ((op_ == 'p') && right_->has_sign())
|
2011-02-26 23:59:52 +01:00
|
|
|
r_val >>= 1;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// If the right operand is constant, we can use the
|
|
|
|
|
// actual value.
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr*rp = right_->elaborate_expr(des, scope, r_width, NO_FLAGS);
|
2011-02-26 23:59:52 +01:00
|
|
|
if (rp) {
|
|
|
|
|
eval_expr(rp, r_width);
|
|
|
|
|
} else {
|
|
|
|
|
// error recovery
|
|
|
|
|
PEVoid*tmp = new PEVoid();
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
delete right_;
|
|
|
|
|
right_ = tmp;
|
|
|
|
|
}
|
|
|
|
|
NetEConst*rc = dynamic_cast<NetEConst*> (rp);
|
2014-12-10 23:38:12 +01:00
|
|
|
// Adjust the expression width that can be converter depending
|
|
|
|
|
// on if the R-value is signed or not.
|
|
|
|
|
unsigned c_width = sizeof(long)*8;
|
|
|
|
|
if (! right_->has_sign()) c_width -= 1;
|
|
|
|
|
if (rc && (r_width <= c_width)) r_val = rc->value().as_long();
|
2011-02-26 23:59:52 +01:00
|
|
|
|
2014-11-25 23:49:04 +01:00
|
|
|
if (debug_elaborate && rc) {
|
|
|
|
|
cerr << get_fileline() << ": PEBLeftWidth::test_width: "
|
|
|
|
|
<< "Evaluated rc=" << *rc
|
|
|
|
|
<< ", r_val=" << r_val
|
|
|
|
|
<< ", width_cap=" << width_cap << endl;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
// Clip to a sensible range to avoid underflow/overflow
|
2014-02-27 20:20:20 +01:00
|
|
|
// in the following calculations.
|
2011-02-26 23:59:52 +01:00
|
|
|
if (r_val < 0)
|
|
|
|
|
r_val = 0;
|
2014-03-01 02:29:17 +01:00
|
|
|
if ((unsigned long)r_val > width_cap)
|
2014-02-27 20:20:20 +01:00
|
|
|
r_val = width_cap;
|
2011-02-26 23:59:52 +01:00
|
|
|
|
|
|
|
|
// If the left operand is a simple unsized number, we
|
|
|
|
|
// can calculate the actual width required for the power
|
|
|
|
|
// operator.
|
|
|
|
|
PENumber*lc = dynamic_cast<PENumber*> (left_);
|
|
|
|
|
|
|
|
|
|
// Now calculate the lossless width.
|
|
|
|
|
unsigned use_width = expr_width_;
|
|
|
|
|
switch (op_) {
|
|
|
|
|
case 'l': // <<
|
2014-11-25 23:49:04 +01:00
|
|
|
if (l_mode != SIZED)
|
|
|
|
|
use_width += (unsigned)r_val;
|
2011-02-26 23:59:52 +01:00
|
|
|
break;
|
|
|
|
|
|
|
|
|
|
case 'r': // >>
|
|
|
|
|
case 'R': // >>>
|
|
|
|
|
// A logical shift will effectively coerce a signed
|
|
|
|
|
// operand to unsigned. We have to assume an arithmetic
|
2011-03-03 05:23:02 +01:00
|
|
|
// shift may do the same, as we don't yet know the final
|
2011-02-26 23:59:52 +01:00
|
|
|
// expression type.
|
|
|
|
|
if ((mode == LOSSLESS) && signed_flag_)
|
2013-10-28 23:07:09 +01:00
|
|
|
mode = UPSIZE;
|
2011-02-26 23:59:52 +01:00
|
|
|
break;
|
|
|
|
|
|
|
|
|
|
case 'p': // **
|
|
|
|
|
if (lc && rc) {
|
|
|
|
|
verinum result = pow(lc->value(), rc->value());
|
2014-02-15 23:06:31 +01:00
|
|
|
use_width = max(use_width, result.len());
|
2011-02-26 23:59:52 +01:00
|
|
|
} else {
|
|
|
|
|
if (signed_flag_) use_width -= 1;
|
|
|
|
|
use_width *= (unsigned)r_val;
|
|
|
|
|
if (signed_flag_) use_width += 2;
|
|
|
|
|
}
|
|
|
|
|
break;
|
2008-09-21 04:23:54 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
default:
|
|
|
|
|
cerr << get_fileline() << ": internal error: "
|
|
|
|
|
<< "Unexpected opcode " << human_readable_op(op_)
|
|
|
|
|
<< " in PEBLeftWidth::test_width." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
2008-10-19 07:00:22 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
// If the right operand is not constant, we could end up
|
|
|
|
|
// grossly overestimating the required width. So in this
|
|
|
|
|
// case, don't expand beyond the width of an integer
|
|
|
|
|
// (which meets the requirements of the standard).
|
|
|
|
|
if ((rc == 0) && (use_width > expr_width_) && (use_width > integer_width))
|
|
|
|
|
use_width = integer_width;
|
2008-10-15 04:36:48 +02:00
|
|
|
|
2014-11-25 23:49:04 +01:00
|
|
|
if (use_width >= width_cap) {
|
|
|
|
|
cerr << get_fileline() << ": warning: "
|
|
|
|
|
<< "Unsized expression (" << *this << ")"
|
|
|
|
|
<< " expanded beyond and was clipped to " << use_width
|
|
|
|
|
<< " bits. Try using sized operands." << endl;
|
|
|
|
|
}
|
2011-02-26 23:59:52 +01:00
|
|
|
expr_width_ = use_width;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (op_ == 'l')
|
|
|
|
|
min_width_ = left_->min_width();
|
|
|
|
|
else
|
2014-08-25 21:27:22 +02:00
|
|
|
min_width_ = UINT_MAX; // disable width pruning
|
2011-02-26 23:59:52 +01:00
|
|
|
|
2014-11-25 23:49:04 +01:00
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PEBLeftWidth::test_width: "
|
|
|
|
|
<< "Done calculating expr_width_=" << expr_width_
|
|
|
|
|
<< ", min_width_=" << min_width_
|
|
|
|
|
<< ", mode=" << width_mode_name(mode) << endl;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
return fix_width_(mode);
|
2006-10-30 06:44:49 +01:00
|
|
|
}
|
|
|
|
|
|
2008-11-28 23:40:25 +01:00
|
|
|
NetExpr*PEBLeftWidth::elaborate_expr(Design*des, NetScope*scope,
|
2011-03-27 12:08:33 +02:00
|
|
|
unsigned expr_wid, unsigned flags) const
|
2008-09-21 04:23:54 +02:00
|
|
|
{
|
2011-04-15 23:44:05 +02:00
|
|
|
flags &= ~SYS_TASK_ARG; // don't propagate the SYS_TASK_ARG flag
|
2011-03-27 12:08:33 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
ivl_assert(*this, left_);
|
2008-09-21 04:23:54 +02:00
|
|
|
|
2011-03-12 21:26:03 +01:00
|
|
|
// The left operand is always context determined, so propagate
|
|
|
|
|
// down the expression type (signed/unsigned).
|
|
|
|
|
left_->cast_signed(signed_flag_);
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned r_width = right_->expr_width();
|
2008-11-28 23:40:25 +01:00
|
|
|
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr*lp = left_->elaborate_expr(des, scope, expr_wid, flags);
|
|
|
|
|
NetExpr*rp = right_->elaborate_expr(des, scope, r_width, flags);
|
2011-02-26 23:59:52 +01:00
|
|
|
if (lp == 0 || rp == 0) {
|
2008-09-21 04:23:54 +02:00
|
|
|
delete lp;
|
|
|
|
|
delete rp;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
2011-03-12 21:26:03 +01:00
|
|
|
|
|
|
|
|
// For shift operations, the right operand is always treated as
|
|
|
|
|
// unsigned, so coerce it if necessary.
|
|
|
|
|
if ((op_ != 'p') && rp->has_sign()) {
|
|
|
|
|
rp = new NetESelect(rp, 0, rp->expr_width());
|
|
|
|
|
rp->cast_signed(false);
|
|
|
|
|
rp->set_line(*this);
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
eval_expr(lp, expr_wid);
|
|
|
|
|
eval_expr(rp, r_width);
|
2008-11-28 23:40:25 +01:00
|
|
|
|
|
|
|
|
return elaborate_expr_leaf(des, lp, rp, expr_wid);
|
|
|
|
|
}
|
|
|
|
|
|
2010-11-01 22:37:06 +01:00
|
|
|
NetExpr*PEBPower::elaborate_expr_leaf(Design*, NetExpr*lp, NetExpr*rp,
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned expr_wid) const
|
2008-11-28 23:40:25 +01:00
|
|
|
{
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": debug: elaborate expression "
|
|
|
|
|
<< *this << " expr_wid=" << expr_wid << endl;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
NetExpr*tmp = new NetEBPow(op_, lp, rp, expr_wid, signed_flag_);
|
2008-11-28 23:40:25 +01:00
|
|
|
tmp->set_line(*this);
|
|
|
|
|
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
NetExpr*PEBShift::elaborate_expr_leaf(Design*des, NetExpr*lp, NetExpr*rp,
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned expr_wid) const
|
2008-11-28 23:40:25 +01:00
|
|
|
{
|
|
|
|
|
switch (op_) {
|
2011-03-12 21:26:03 +01:00
|
|
|
case 'l': // <<
|
2008-11-28 23:40:25 +01:00
|
|
|
case 'r': // >>
|
|
|
|
|
case 'R': // >>>
|
|
|
|
|
break;
|
|
|
|
|
|
|
|
|
|
default:
|
|
|
|
|
cerr << get_fileline() << ": internal error: "
|
|
|
|
|
<< "Unexpected opcode " << human_readable_op(op_)
|
|
|
|
|
<< " in PEBShift::elaborate_expr_leaf." << endl;
|
|
|
|
|
des->errors += 1;
|
2011-03-12 21:26:03 +01:00
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (lp->expr_type() == IVL_VT_REAL || rp->expr_type() == IVL_VT_REAL) {
|
|
|
|
|
cerr << get_fileline() << ": error: "
|
|
|
|
|
<< human_readable_op(op_)
|
|
|
|
|
<< " operator may not have REAL operands." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
delete lp;
|
|
|
|
|
delete rp;
|
|
|
|
|
return 0;
|
2008-11-28 23:40:25 +01:00
|
|
|
}
|
|
|
|
|
|
2011-03-12 21:26:03 +01:00
|
|
|
NetExpr*tmp;
|
|
|
|
|
|
|
|
|
|
// If the left expression is constant, then there are some
|
|
|
|
|
// special cases we can work with. If the left expression is
|
|
|
|
|
// not constant, but the right expression is constant, then
|
|
|
|
|
// there are some other interesting cases. But if neither are
|
|
|
|
|
// constant, then there is the general case.
|
|
|
|
|
|
|
|
|
|
if (NetEConst*lpc = dynamic_cast<NetEConst*> (lp)) {
|
|
|
|
|
|
|
|
|
|
// Special case: The left expression is zero. If the
|
|
|
|
|
// shift value contains no 'x' or 'z' bits, the result
|
|
|
|
|
// is going to be zero.
|
|
|
|
|
if (lpc->value().is_defined() && lpc->value().is_zero()
|
|
|
|
|
&& (rp->expr_type() == IVL_VT_BOOL)) {
|
|
|
|
|
|
|
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": debug: "
|
|
|
|
|
<< "Shift of zero always returns zero."
|
|
|
|
|
<< " Elaborate as constant zero." << endl;
|
|
|
|
|
|
|
|
|
|
tmp = make_const_0(expr_wid);
|
|
|
|
|
tmp->cast_signed(signed_flag_);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
} else if (NetEConst*rpc = dynamic_cast<NetEConst*> (rp)) {
|
|
|
|
|
|
|
|
|
|
// Special case: The shift value contains 'x' or 'z' bits.
|
|
|
|
|
// Elaborate as a constant-x.
|
|
|
|
|
if (!rpc->value().is_defined()) {
|
|
|
|
|
|
|
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": debug: "
|
|
|
|
|
<< "Shift by undefined value. "
|
|
|
|
|
<< "Elaborate as constant 'x'." << endl;
|
|
|
|
|
|
|
|
|
|
tmp = make_const_x(expr_wid);
|
|
|
|
|
tmp->cast_signed(signed_flag_);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
|
|
|
|
|
delete lp;
|
|
|
|
|
delete rp;
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
unsigned long shift = rpc->value().as_ulong();
|
|
|
|
|
|
|
|
|
|
// Special case: The shift is zero. The result is simply
|
|
|
|
|
// the left operand.
|
|
|
|
|
if (shift == 0) {
|
|
|
|
|
|
|
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": debug: "
|
|
|
|
|
<< "Shift by zero. Elaborate as the "
|
|
|
|
|
<< "left hand operand." << endl;
|
|
|
|
|
|
|
|
|
|
delete rp;
|
|
|
|
|
return lp;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// Special case: the shift is at least the size of the entire
|
2011-04-15 23:44:05 +02:00
|
|
|
// left operand, and the shift is a signed right shift.
|
2011-03-12 21:26:03 +01:00
|
|
|
// Elaborate as a replication of the top bit of the left
|
|
|
|
|
// expression.
|
|
|
|
|
if ((op_=='R' && signed_flag_) && (shift >= expr_wid)) {
|
|
|
|
|
|
|
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": debug: "
|
|
|
|
|
<< "Value signed-right-shifted " << shift
|
|
|
|
|
<< " beyond width of " << expr_wid
|
|
|
|
|
<< ". Elaborate as replicated top bit." << endl;
|
|
|
|
|
|
|
|
|
|
tmp = new NetEConst(verinum(expr_wid-1));
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
tmp = new NetESelect(lp, tmp, 1);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
tmp = pad_to_width(tmp, expr_wid, *this);
|
|
|
|
|
tmp->cast_signed(true);
|
|
|
|
|
|
|
|
|
|
delete rp;
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// Special case: The shift is at least the size of the entire
|
|
|
|
|
// left operand, and the shift is not a signed right shift
|
|
|
|
|
// (which is caught by the previous special case). Elaborate
|
|
|
|
|
// as a constant-0.
|
|
|
|
|
if (shift >= expr_wid) {
|
|
|
|
|
|
|
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": debug: "
|
|
|
|
|
<< "Value shifted " << shift
|
|
|
|
|
<< " beyond width of " << expr_wid
|
|
|
|
|
<< ". Elaborate as constant zero." << endl;
|
|
|
|
|
|
|
|
|
|
tmp = make_const_0(expr_wid);
|
|
|
|
|
tmp->cast_signed(signed_flag_);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
|
|
|
|
|
delete lp;
|
|
|
|
|
delete rp;
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// Fallback, handle the general case.
|
|
|
|
|
tmp = new NetEBShift(op_, lp, rp, expr_wid, signed_flag_);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
|
2008-09-21 04:23:54 +02:00
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2007-10-02 05:38:20 +02:00
|
|
|
unsigned PECallFunction::test_width_sfunc_(Design*des, NetScope*scope,
|
2011-02-26 23:59:52 +01:00
|
|
|
width_mode_t&mode)
|
2007-10-02 05:38:20 +02:00
|
|
|
{
|
|
|
|
|
perm_string name = peek_tail_name(path_);
|
|
|
|
|
|
2013-05-27 03:16:59 +02:00
|
|
|
if (name=="$ivlh_to_unsigned") {
|
|
|
|
|
ivl_assert(*this, parms_.size() == 2);
|
2015-03-27 20:39:58 +01:00
|
|
|
// The Icarus Verilog specific $ivlh_to_unsigned() system
|
2013-05-27 03:16:59 +02:00
|
|
|
// task takes a second argument which is the output
|
|
|
|
|
// size. This can be an arbitrary constant function.
|
|
|
|
|
PExpr*pexpr = parms_[1];
|
|
|
|
|
if (pexpr == 0) {
|
|
|
|
|
cerr << get_fileline() << ": error: "
|
|
|
|
|
<< "Missing $ivlh_to_unsigned width." << endl;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
NetExpr*nexpr = elab_and_eval(des, scope, pexpr, -1, true);
|
|
|
|
|
if (nexpr == 0) {
|
|
|
|
|
cerr << get_fileline() << ": error: "
|
|
|
|
|
<< "Unable to evaluate " << name
|
|
|
|
|
<< " width argument: " << *pexpr << endl;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
long value = 0;
|
|
|
|
|
bool rc = eval_as_long(value, nexpr);
|
|
|
|
|
ivl_assert(*this, rc && value>=0);
|
|
|
|
|
|
2015-04-25 10:20:31 +02:00
|
|
|
// The argument type/width is self-determined and doesn't
|
|
|
|
|
// affect the result type/width.
|
|
|
|
|
width_mode_t arg_mode = SIZED;
|
|
|
|
|
parms_[0]->test_width(des, scope, arg_mode);
|
2015-03-27 20:39:58 +01:00
|
|
|
|
2013-05-27 03:16:59 +02:00
|
|
|
expr_width_ = value;
|
|
|
|
|
signed_flag_= false;
|
|
|
|
|
return expr_width_;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
if (name=="$signed" || name=="$unsigned") {
|
2007-10-02 05:38:20 +02:00
|
|
|
PExpr*expr = parms_[0];
|
|
|
|
|
if (expr == 0)
|
|
|
|
|
return 0;
|
2008-10-19 07:00:22 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
// The argument type/width is self-determined, but affects
|
|
|
|
|
// the result width.
|
|
|
|
|
width_mode_t arg_mode = SIZED;
|
|
|
|
|
expr_width_ = expr->test_width(des, scope, arg_mode);
|
|
|
|
|
expr_type_ = expr->expr_type();
|
|
|
|
|
min_width_ = expr->min_width();
|
|
|
|
|
signed_flag_ = (name[1] == 's');
|
|
|
|
|
|
2013-10-28 23:07:09 +01:00
|
|
|
if ((arg_mode >= EXPAND) && type_is_vectorable(expr_type_)) {
|
2011-02-26 23:59:52 +01:00
|
|
|
if (mode < LOSSLESS)
|
|
|
|
|
mode = LOSSLESS;
|
|
|
|
|
if (expr_width_ < integer_width)
|
|
|
|
|
expr_width_ = integer_width;
|
|
|
|
|
}
|
2010-01-17 15:20:18 +01:00
|
|
|
|
2007-10-02 05:38:20 +02:00
|
|
|
if (debug_elaborate)
|
2011-02-26 23:59:52 +01:00
|
|
|
cerr << get_fileline() << ": debug: " << name
|
|
|
|
|
<< " argument width = " << expr_width_ << "." << endl;
|
2007-10-02 05:38:20 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
return expr_width_;
|
2008-10-11 05:42:07 +02:00
|
|
|
}
|
|
|
|
|
|
2008-09-27 07:20:11 +02:00
|
|
|
if (name=="$sizeof" || name=="$bits") {
|
2011-02-26 23:59:52 +01:00
|
|
|
PExpr*expr = parms_[0];
|
|
|
|
|
if (expr == 0)
|
|
|
|
|
return 0;
|
|
|
|
|
|
2013-12-16 02:49:57 +01:00
|
|
|
if (! dynamic_cast<PETypename*>(expr)) {
|
|
|
|
|
// The argument type/width is self-determined and doesn't
|
|
|
|
|
// affect the result type/width. Note that if the
|
|
|
|
|
// argument is a type name (a special case) then
|
|
|
|
|
// don't bother with this step.
|
|
|
|
|
width_mode_t arg_mode = SIZED;
|
|
|
|
|
expr->test_width(des, scope, arg_mode);
|
|
|
|
|
}
|
2011-02-26 23:59:52 +01:00
|
|
|
|
|
|
|
|
expr_type_ = IVL_VT_BOOL;
|
|
|
|
|
expr_width_ = integer_width;
|
|
|
|
|
min_width_ = integer_width;
|
|
|
|
|
signed_flag_ = false;
|
|
|
|
|
|
2008-09-27 07:20:11 +02:00
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": debug: test_width"
|
2011-02-26 23:59:52 +01:00
|
|
|
<< " of " << name << " returns test_width"
|
2008-09-27 07:20:11 +02:00
|
|
|
<< " of compiler integer." << endl;
|
|
|
|
|
|
2008-10-11 05:42:07 +02:00
|
|
|
return expr_width_;
|
2008-09-27 07:20:11 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (name=="$is_signed") {
|
2011-02-26 23:59:52 +01:00
|
|
|
PExpr*expr = parms_[0];
|
|
|
|
|
if (expr == 0)
|
|
|
|
|
return 0;
|
|
|
|
|
|
|
|
|
|
// The argument type/width is self-determined and doesn't
|
|
|
|
|
// affect the result type/width.
|
|
|
|
|
width_mode_t arg_mode = SIZED;
|
|
|
|
|
expr->test_width(des, scope, arg_mode);
|
|
|
|
|
|
|
|
|
|
expr_type_ = IVL_VT_BOOL;
|
|
|
|
|
expr_width_ = 1;
|
|
|
|
|
min_width_ = 1;
|
|
|
|
|
signed_flag_ = false;
|
|
|
|
|
|
2008-09-27 07:20:11 +02:00
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": debug: test_width"
|
|
|
|
|
<< " of $is_signed returns test_width"
|
|
|
|
|
<< " of 1." << endl;
|
|
|
|
|
|
2008-10-11 05:42:07 +02:00
|
|
|
return expr_width_;
|
2008-09-27 07:20:11 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
/* Get the return type of the system function by looking it up
|
|
|
|
|
in the sfunc_table. */
|
2011-02-26 23:59:52 +01:00
|
|
|
const struct sfunc_return_type*sfunc_info = lookup_sys_func(name);
|
2008-09-27 07:20:11 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
expr_type_ = sfunc_info->type;
|
|
|
|
|
expr_width_ = sfunc_info->wid;
|
|
|
|
|
min_width_ = expr_width_;
|
|
|
|
|
signed_flag_ = sfunc_info->signed_flag;
|
2008-09-27 07:20:11 +02:00
|
|
|
|
2007-10-02 05:38:20 +02:00
|
|
|
if (debug_elaborate)
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": debug: test_width "
|
2007-10-02 05:38:20 +02:00
|
|
|
<< "of system function " << name
|
2008-10-11 05:42:07 +02:00
|
|
|
<< " returns wid=" << expr_width_
|
|
|
|
|
<< ", type=" << expr_type_ << "." << endl;
|
2008-09-27 07:20:11 +02:00
|
|
|
|
2008-10-11 05:42:07 +02:00
|
|
|
return expr_width_;
|
2007-10-02 05:38:20 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
unsigned PECallFunction::test_width(Design*des, NetScope*scope,
|
2011-02-26 23:59:52 +01:00
|
|
|
width_mode_t&mode)
|
2007-10-02 05:38:20 +02:00
|
|
|
{
|
|
|
|
|
if (peek_tail_name(path_)[0] == '$')
|
2011-02-26 23:59:52 +01:00
|
|
|
return test_width_sfunc_(des, scope, mode);
|
2007-10-02 05:38:20 +02:00
|
|
|
|
2008-09-26 05:37:18 +02:00
|
|
|
// The width of user defined functions depends only on the
|
|
|
|
|
// width of the return value. The arguments are entirely
|
|
|
|
|
// self-determined.
|
2007-10-02 05:38:20 +02:00
|
|
|
NetFuncDef*def = des->find_function(scope, path_);
|
|
|
|
|
if (def == 0) {
|
2008-11-03 06:46:27 +01:00
|
|
|
// If this is an access function, then the width and
|
|
|
|
|
// type are known by definition.
|
|
|
|
|
if (find_access_function(path_)) {
|
2011-02-26 23:59:52 +01:00
|
|
|
expr_type_ = IVL_VT_REAL;
|
|
|
|
|
expr_width_ = 1;
|
|
|
|
|
min_width_ = 1;
|
|
|
|
|
signed_flag_ = true;
|
|
|
|
|
|
2008-11-03 06:46:27 +01:00
|
|
|
return expr_width_;
|
|
|
|
|
}
|
|
|
|
|
|
2012-07-14 03:41:41 +02:00
|
|
|
if (test_width_method_(des, scope, mode)) {
|
|
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": debug: test_width "
|
|
|
|
|
<< "of method returns width " << expr_width_
|
|
|
|
|
<< ", type=" << expr_type_
|
|
|
|
|
<< "." << endl;
|
|
|
|
|
return expr_width_;
|
|
|
|
|
}
|
|
|
|
|
|
2007-10-02 05:38:20 +02:00
|
|
|
if (debug_elaborate)
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": debug: test_width "
|
2007-10-02 05:38:20 +02:00
|
|
|
<< "cannot find definition of " << path_
|
|
|
|
|
<< " in " << scope_path(scope) << "." << endl;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
NetScope*dscope = def->scope();
|
|
|
|
|
assert(dscope);
|
|
|
|
|
|
|
|
|
|
if (NetNet*res = dscope->find_signal(dscope->basename())) {
|
2011-02-26 23:59:52 +01:00
|
|
|
expr_type_ = res->data_type();
|
|
|
|
|
expr_width_ = res->vector_width();
|
|
|
|
|
min_width_ = expr_width_;
|
|
|
|
|
signed_flag_ = res->get_signed();
|
|
|
|
|
|
2007-10-02 05:38:20 +02:00
|
|
|
if (debug_elaborate)
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": debug: test_width "
|
2011-02-26 23:59:52 +01:00
|
|
|
<< "of function returns width " << expr_width_
|
2008-10-20 19:06:04 +02:00
|
|
|
<< ", type=" << expr_type_
|
2007-10-02 05:38:20 +02:00
|
|
|
<< "." << endl;
|
2008-09-26 05:37:18 +02:00
|
|
|
|
2008-10-19 07:00:22 +02:00
|
|
|
return expr_width_;
|
2007-10-02 05:38:20 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
ivl_assert(*this, 0);
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
2006-10-30 06:44:49 +01:00
|
|
|
|
2012-07-14 03:41:41 +02:00
|
|
|
unsigned PECallFunction::test_width_method_(Design*des, NetScope*scope,
|
2012-11-12 02:42:31 +01:00
|
|
|
width_mode_t&)
|
2012-07-14 03:41:41 +02:00
|
|
|
{
|
|
|
|
|
if (!gn_system_verilog())
|
|
|
|
|
return 0;
|
|
|
|
|
|
|
|
|
|
// This is only useful if the path is at least 2 elements. For
|
|
|
|
|
// example, foo.bar() is a method, bar() is not.
|
|
|
|
|
if (path_.size() < 2)
|
|
|
|
|
return 0;
|
|
|
|
|
|
2013-01-27 21:30:38 +01:00
|
|
|
perm_string member_name;
|
|
|
|
|
ivl_type_t member_type = 0;
|
2012-07-14 03:41:41 +02:00
|
|
|
pform_name_t use_path = path_;
|
|
|
|
|
perm_string method_name = peek_tail_name(use_path);
|
|
|
|
|
use_path.pop_back();
|
|
|
|
|
|
2013-01-27 21:30:38 +01:00
|
|
|
NetNet *net = 0;
|
2012-07-14 03:41:41 +02:00
|
|
|
const NetExpr *par;
|
|
|
|
|
NetEvent *eve;
|
|
|
|
|
const NetExpr *ex1, *ex2;
|
|
|
|
|
|
|
|
|
|
symbol_search(this, des, scope, use_path,
|
|
|
|
|
net, par, eve, ex1, ex2);
|
|
|
|
|
|
2013-01-27 21:30:38 +01:00
|
|
|
const netdarray_t*use_darray = 0;
|
|
|
|
|
|
|
|
|
|
if (net != 0)
|
|
|
|
|
use_darray = net->darray_type();
|
|
|
|
|
|
|
|
|
|
// Net is not found, but maybe it is a member of a
|
|
|
|
|
// struct or class. Try to locate net without the member
|
|
|
|
|
// name and test if it is a type that has members.
|
|
|
|
|
if (net == 0 && use_path.size() >= 2) {
|
|
|
|
|
pform_name_t tmp_path = use_path;
|
|
|
|
|
member_name = peek_tail_name(tmp_path);
|
|
|
|
|
tmp_path.pop_back();
|
|
|
|
|
|
|
|
|
|
net = 0;
|
|
|
|
|
symbol_search(this, des, scope, tmp_path,
|
|
|
|
|
net, par, eve, ex1, ex2);
|
|
|
|
|
if (net && net->class_type()) {
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PECallFunction::test_width_method_: "
|
|
|
|
|
<< "Found net=" << tmp_path
|
|
|
|
|
<< ", member_name=" << member_name
|
|
|
|
|
<< ", method_name=" << method_name
|
|
|
|
|
<< endl;
|
|
|
|
|
}
|
|
|
|
|
|
2013-04-15 03:03:21 +02:00
|
|
|
const netclass_t* class_type = net->class_type();
|
2013-06-26 15:16:24 +02:00
|
|
|
int midx = class_type->property_idx_from_name(member_name);
|
|
|
|
|
if (midx >= 0)
|
|
|
|
|
member_type = class_type->get_prop_type(midx);
|
|
|
|
|
else
|
|
|
|
|
member_type = 0;
|
2013-01-27 21:30:38 +01:00
|
|
|
use_path = tmp_path;
|
|
|
|
|
|
|
|
|
|
use_darray = dynamic_cast<const netdarray_t*> (member_type);
|
|
|
|
|
|
|
|
|
|
} else {
|
|
|
|
|
member_name = perm_string();
|
|
|
|
|
net = 0;
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// After all, no sign of a net match. Give up.
|
2012-07-14 03:41:41 +02:00
|
|
|
if (net == 0)
|
|
|
|
|
return 0;
|
|
|
|
|
|
2015-06-02 19:40:24 +02:00
|
|
|
// Look for built in string attributes.
|
2014-02-01 04:01:28 +01:00
|
|
|
if (net->data_type()==IVL_VT_STRING) {
|
|
|
|
|
|
|
|
|
|
if (method_name == "len") {
|
|
|
|
|
expr_type_ = IVL_VT_BOOL;
|
|
|
|
|
expr_width_ = 32;
|
|
|
|
|
min_width_ = 32;
|
|
|
|
|
signed_flag_= true;
|
|
|
|
|
return expr_width_;
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2012-07-14 03:41:41 +02:00
|
|
|
// function int size()
|
2013-01-27 21:30:38 +01:00
|
|
|
if (use_darray && method_name == "size") {
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PECallFunction::test_width_method_: "
|
|
|
|
|
<< "Match darray size() method." << endl;
|
|
|
|
|
}
|
|
|
|
|
|
2012-07-14 03:41:41 +02:00
|
|
|
expr_type_ = IVL_VT_BOOL;
|
|
|
|
|
expr_width_ = 32;
|
|
|
|
|
min_width_ = expr_width_;
|
|
|
|
|
signed_flag_= true;
|
|
|
|
|
return expr_width_;
|
|
|
|
|
}
|
|
|
|
|
|
2014-08-17 02:07:54 +02:00
|
|
|
if (use_darray && (method_name == "pop_back" || method_name=="pop_front")) {
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PECallFunction::test_width_method_: "
|
|
|
|
|
<< "Detected " << method_name << " method"
|
|
|
|
|
<< " of dynamic arrays." << endl;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
expr_type_ = use_darray->element_base_type();
|
|
|
|
|
expr_width_ = use_darray->element_width();
|
|
|
|
|
min_width_ = expr_width_;
|
|
|
|
|
signed_flag_= false;
|
|
|
|
|
|
|
|
|
|
return expr_width_;
|
|
|
|
|
}
|
|
|
|
|
|
2013-04-15 03:03:21 +02:00
|
|
|
if (const netclass_t*class_type = net->class_type()) {
|
2014-08-17 02:07:54 +02:00
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PECallFunction::test_width_method_: "
|
|
|
|
|
<< "Try to find method " << method_name
|
|
|
|
|
<< " of class " << class_type->get_name() << endl;
|
|
|
|
|
}
|
2013-03-15 04:08:32 +01:00
|
|
|
|
|
|
|
|
NetScope*func = class_type->method_from_name(method_name);
|
|
|
|
|
if (func == 0) {
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// Get the function result size be getting the details
|
|
|
|
|
// from the variable in the function scope that has the
|
|
|
|
|
// name of the function.
|
|
|
|
|
if (NetNet*res = func->find_signal(method_name)) {
|
|
|
|
|
expr_type_ = res->data_type();
|
|
|
|
|
expr_width_= res->vector_width();
|
|
|
|
|
min_width_ = expr_width_;
|
|
|
|
|
signed_flag_ = res->get_signed();
|
|
|
|
|
return expr_width_;
|
|
|
|
|
} else {
|
|
|
|
|
ivl_assert(*this, 0);
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2012-07-14 03:41:41 +02:00
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
NetExpr*PECallFunction::cast_to_width_(NetExpr*expr, unsigned wid) const
|
2010-01-17 15:20:18 +01:00
|
|
|
{
|
2014-03-01 17:38:23 +01:00
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PECallFunction::cast_to_width_: "
|
|
|
|
|
<< "cast to " << wid
|
|
|
|
|
<< " bits " << (signed_flag_?"signed":"unsigned")
|
|
|
|
|
<< " from expr_width()=" << expr->expr_width() << endl;
|
|
|
|
|
}
|
|
|
|
|
|
2010-01-17 15:20:18 +01:00
|
|
|
/* If the expression is a const, then replace it with a new
|
|
|
|
|
const. This is a more efficient result. */
|
|
|
|
|
if (NetEConst*tmp = dynamic_cast<NetEConst*>(expr)) {
|
2011-02-26 23:59:52 +01:00
|
|
|
tmp->cast_signed(signed_flag_);
|
2015-05-22 00:57:45 +02:00
|
|
|
if (wid != tmp->expr_width()) {
|
2011-02-26 23:59:52 +01:00
|
|
|
tmp = new NetEConst(verinum(tmp->value(), wid));
|
2010-01-17 15:20:18 +01:00
|
|
|
tmp->set_line(*this);
|
|
|
|
|
delete expr;
|
|
|
|
|
}
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
NetESelect*tmp = new NetESelect(expr, 0, wid);
|
2011-02-26 23:59:52 +01:00
|
|
|
tmp->cast_signed(signed_flag_);
|
2010-01-17 15:20:18 +01:00
|
|
|
tmp->set_line(*this);
|
2011-02-26 23:59:52 +01:00
|
|
|
|
2010-01-17 15:20:18 +01:00
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2001-02-09 06:44:23 +01:00
|
|
|
/*
|
|
|
|
|
* Given a call to a system function, generate the proper expression
|
|
|
|
|
* nodes to represent the call in the netlist. Since we don't support
|
|
|
|
|
* size_tf functions, make assumptions about widths based on some
|
|
|
|
|
* known function names.
|
|
|
|
|
*/
|
2011-02-26 23:59:52 +01:00
|
|
|
NetExpr* PECallFunction::elaborate_sfunc_(Design*des, NetScope*scope,
|
2011-03-27 12:08:33 +02:00
|
|
|
unsigned expr_wid,
|
|
|
|
|
unsigned flags) const
|
1999-09-25 04:57:29 +02:00
|
|
|
{
|
2011-02-26 23:59:52 +01:00
|
|
|
perm_string name = peek_tail_name(path_);
|
2001-12-31 01:08:14 +01:00
|
|
|
|
2013-05-27 03:16:59 +02:00
|
|
|
/* Catch the special case that the system function is the
|
|
|
|
|
$ivl_unsigned function. In this case the second argument is
|
|
|
|
|
the size of the expression, but should already be accounted
|
|
|
|
|
for so treat this very much like the $unsigned() function. */
|
|
|
|
|
if (name=="$ivlh_to_unsigned") {
|
|
|
|
|
ivl_assert(*this, parms_.size()==2);
|
|
|
|
|
|
|
|
|
|
PExpr*expr = parms_[0];
|
|
|
|
|
ivl_assert(*this, expr);
|
2015-04-25 10:20:31 +02:00
|
|
|
NetExpr*sub = expr->elaborate_expr(des, scope, expr->expr_width(), flags);
|
2013-05-27 03:16:59 +02:00
|
|
|
return cast_to_width_(sub, expr_wid);
|
|
|
|
|
}
|
|
|
|
|
|
2010-01-17 15:20:18 +01:00
|
|
|
/* Catch the special case that the system function is the $signed
|
|
|
|
|
function. Its argument will be evaluated as a self-determined
|
|
|
|
|
expression. */
|
2011-02-26 23:59:52 +01:00
|
|
|
if (name=="$signed" || name=="$unsigned") {
|
2008-07-27 23:22:19 +02:00
|
|
|
if ((parms_.size() != 1) || (parms_[0] == 0)) {
|
2011-02-26 23:59:52 +01:00
|
|
|
cerr << get_fileline() << ": error: The " << name
|
|
|
|
|
<< " function takes exactly one(1) argument." << endl;
|
2001-12-31 01:08:14 +01:00
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2014-03-01 17:38:23 +01:00
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PECallFunction::elaborate_sfunc_: "
|
|
|
|
|
<< name << " expression is the argument cast to expr_wid=" << expr_wid << endl;
|
|
|
|
|
}
|
2001-12-31 01:08:14 +01:00
|
|
|
PExpr*expr = parms_[0];
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr*sub = expr->elaborate_expr(des, scope, expr_width_, flags);
|
2010-01-17 15:20:18 +01:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
return cast_to_width_(sub, expr_wid);
|
2004-08-28 17:42:11 +02:00
|
|
|
}
|
2001-12-31 01:08:14 +01:00
|
|
|
|
2002-05-05 23:11:49 +02:00
|
|
|
/* Interpret the internal $sizeof system function to return
|
|
|
|
|
the bit width of the sub-expression. The value of the
|
|
|
|
|
sub-expression is not used, so the expression itself can be
|
|
|
|
|
deleted. */
|
2011-02-26 23:59:52 +01:00
|
|
|
if (name=="$sizeof" || name=="$bits") {
|
2008-07-27 23:22:19 +02:00
|
|
|
if ((parms_.size() != 1) || (parms_[0] == 0)) {
|
2011-02-26 23:59:52 +01:00
|
|
|
cerr << get_fileline() << ": error: The " << name
|
|
|
|
|
<< " function takes exactly one(1) argument." << endl;
|
2002-05-05 23:11:49 +02:00
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
if (name=="$sizeof")
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": warning: $sizeof is deprecated."
|
2002-05-24 02:44:54 +02:00
|
|
|
<< " Use $bits() instead." << endl;
|
|
|
|
|
|
2002-05-05 23:11:49 +02:00
|
|
|
PExpr*expr = parms_[0];
|
|
|
|
|
|
2013-12-16 03:36:13 +01:00
|
|
|
uint64_t use_width = 0;
|
2013-12-16 02:49:57 +01:00
|
|
|
if (PETypename*type_expr = dynamic_cast<PETypename*>(expr)) {
|
2013-12-16 03:36:13 +01:00
|
|
|
ivl_type_t tmp_type = type_expr->get_type()->elaborate_type(des, scope);
|
|
|
|
|
ivl_assert(*this, tmp_type);
|
|
|
|
|
use_width = tmp_type->packed_width();
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PECallFunction::elaborate_sfunc_: "
|
|
|
|
|
<< " Packed width of type argument is " << use_width << endl;
|
|
|
|
|
}
|
2002-05-05 23:11:49 +02:00
|
|
|
|
2013-12-16 03:36:13 +01:00
|
|
|
} else {
|
|
|
|
|
use_width = expr->expr_width();
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PECallFunction::elaborate_sfunc_: "
|
|
|
|
|
<< " Width of expression argument is " << use_width << endl;
|
|
|
|
|
}
|
2013-12-16 02:49:57 +01:00
|
|
|
}
|
2013-12-16 03:36:13 +01:00
|
|
|
|
|
|
|
|
verinum val (use_width, integer_width);
|
2007-07-18 03:05:48 +02:00
|
|
|
NetEConst*sub = new NetEConst(val);
|
2002-05-05 23:11:49 +02:00
|
|
|
sub->set_line(*this);
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
return cast_to_width_(sub, expr_wid);
|
2002-05-05 23:11:49 +02:00
|
|
|
}
|
|
|
|
|
|
2004-08-26 05:51:51 +02:00
|
|
|
/* Interpret the internal $is_signed system function to return
|
|
|
|
|
a single bit flag -- 1 if the expression is signed, 0
|
2011-02-26 23:59:52 +01:00
|
|
|
otherwise. */
|
|
|
|
|
if (name=="$is_signed") {
|
2008-07-27 23:22:19 +02:00
|
|
|
if ((parms_.size() != 1) || (parms_[0] == 0)) {
|
2011-02-26 23:59:52 +01:00
|
|
|
cerr << get_fileline() << ": error: The " << name
|
|
|
|
|
<< " function takes exactly one(1) argument." << endl;
|
2004-08-26 05:51:51 +02:00
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
PExpr*expr = parms_[0];
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
verinum val (expr->has_sign()? verinum::V1 : verinum::V0, 1);
|
|
|
|
|
NetEConst*sub = new NetEConst(val);
|
2004-08-26 05:51:51 +02:00
|
|
|
sub->set_line(*this);
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
return cast_to_width_(sub, expr_wid);
|
2004-08-26 05:51:51 +02:00
|
|
|
}
|
|
|
|
|
|
2001-02-09 06:44:23 +01:00
|
|
|
/* How many parameters are there? The Verilog language allows
|
|
|
|
|
empty parameters in certain contexts, so the parser will
|
|
|
|
|
allow things like func(1,,3). It will also cause func() to
|
|
|
|
|
be interpreted as a single empty parameter.
|
|
|
|
|
|
|
|
|
|
Functions cannot really take empty parameters, but the
|
2003-01-27 06:09:17 +01:00
|
|
|
case ``func()'' is the same as no parameters at all. So
|
2001-02-09 06:44:23 +01:00
|
|
|
catch that special case here. */
|
2008-07-27 23:22:19 +02:00
|
|
|
unsigned nparms = parms_.size();
|
2001-02-09 06:44:23 +01:00
|
|
|
if ((nparms == 1) && (parms_[0] == 0))
|
|
|
|
|
nparms = 0;
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
NetESFunc*fun = new NetESFunc(name, expr_type_, expr_width_, nparms);
|
2007-12-22 23:19:45 +01:00
|
|
|
fun->set_line(*this);
|
2001-02-09 06:44:23 +01:00
|
|
|
|
2013-03-11 20:46:00 +01:00
|
|
|
if (!fun->is_built_in()) {
|
|
|
|
|
if (scope->need_const_func()) {
|
|
|
|
|
cerr << get_fileline() << ": error: " << name
|
|
|
|
|
<< " is not a built-in function, so cannot"
|
|
|
|
|
<< " be used in a constant function." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
|
|
|
|
scope->is_const_func(false);
|
|
|
|
|
}
|
|
|
|
|
|
2001-02-09 06:44:23 +01:00
|
|
|
/* Now run through the expected parameters. If we find that
|
2001-02-10 21:29:39 +01:00
|
|
|
there are missing parameters, print an error message.
|
|
|
|
|
|
|
|
|
|
While we're at it, try to evaluate the function parameter
|
|
|
|
|
expression as much as possible, and use the reduced
|
|
|
|
|
expression if one is created. */
|
2001-02-09 06:44:23 +01:00
|
|
|
|
2011-03-27 12:08:33 +02:00
|
|
|
bool need_const = NEED_CONST & flags;
|
|
|
|
|
|
2011-04-02 23:31:19 +02:00
|
|
|
unsigned parm_errors = 0;
|
2001-02-09 06:44:23 +01:00
|
|
|
unsigned missing_parms = 0;
|
|
|
|
|
for (unsigned idx = 0 ; idx < nparms ; idx += 1) {
|
2000-05-07 20:20:07 +02:00
|
|
|
PExpr*expr = parms_[idx];
|
2001-02-09 06:44:23 +01:00
|
|
|
if (expr) {
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr*tmp = elab_sys_task_arg(des, scope, name, idx,
|
|
|
|
|
expr, need_const);
|
2011-04-02 23:31:19 +02:00
|
|
|
if (tmp) {
|
|
|
|
|
fun->parm(idx, tmp);
|
|
|
|
|
} else {
|
|
|
|
|
parm_errors += 1;
|
|
|
|
|
fun->parm(idx, 0);
|
|
|
|
|
}
|
2001-02-09 06:44:23 +01:00
|
|
|
} else {
|
|
|
|
|
missing_parms += 1;
|
|
|
|
|
fun->parm(idx, 0);
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (missing_parms > 0) {
|
2011-02-26 23:59:52 +01:00
|
|
|
cerr << get_fileline() << ": error: The function " << name
|
2015-06-21 10:07:11 +02:00
|
|
|
<< " has been called with missing/empty parameters." << endl;
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": : Verilog doesn't allow "
|
2001-02-09 06:44:23 +01:00
|
|
|
<< "passing empty parameters to functions." << endl;
|
|
|
|
|
des->errors += 1;
|
2000-05-07 20:20:07 +02:00
|
|
|
}
|
2000-05-04 05:37:58 +02:00
|
|
|
|
2011-04-02 23:31:19 +02:00
|
|
|
if (missing_parms || parm_errors)
|
|
|
|
|
return 0;
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
NetExpr*tmp = pad_to_width(fun, expr_wid, *this);
|
|
|
|
|
tmp->cast_signed(signed_flag_);
|
|
|
|
|
|
|
|
|
|
return tmp;
|
1999-09-25 04:57:29 +02:00
|
|
|
}
|
|
|
|
|
|
2008-07-31 03:01:41 +02:00
|
|
|
NetExpr* PECallFunction::elaborate_access_func_(Design*des, NetScope*scope,
|
2011-02-26 23:59:52 +01:00
|
|
|
ivl_nature_t nature,
|
|
|
|
|
unsigned expr_wid) const
|
2008-07-31 03:01:41 +02:00
|
|
|
{
|
2008-08-05 05:54:05 +02:00
|
|
|
// An access function must have 1 or 2 arguments.
|
|
|
|
|
ivl_assert(*this, parms_.size()==2 || parms_.size()==1);
|
|
|
|
|
|
|
|
|
|
NetBranch*branch = 0;
|
|
|
|
|
|
|
|
|
|
if (parms_.size() == 1) {
|
|
|
|
|
PExpr*arg1 = parms_[0];
|
|
|
|
|
PEIdent*arg_ident = dynamic_cast<PEIdent*> (arg1);
|
|
|
|
|
ivl_assert(*this, arg_ident);
|
|
|
|
|
|
|
|
|
|
const pform_name_t&path = arg_ident->path();
|
|
|
|
|
ivl_assert(*this, path.size()==1);
|
|
|
|
|
perm_string name = peek_tail_name(path);
|
|
|
|
|
|
|
|
|
|
NetNet*sig = scope->find_signal(name);
|
|
|
|
|
ivl_assert(*this, sig);
|
|
|
|
|
|
2008-11-02 17:10:41 +01:00
|
|
|
ivl_discipline_t dis = sig->get_discipline();
|
2008-08-05 05:54:05 +02:00
|
|
|
ivl_assert(*this, dis);
|
|
|
|
|
ivl_assert(*this, nature == dis->potential() || nature == dis->flow());
|
|
|
|
|
|
2008-11-10 06:42:12 +01:00
|
|
|
NetNet*gnd = des->find_discipline_reference(dis, scope);
|
|
|
|
|
|
2008-11-11 06:19:30 +01:00
|
|
|
if ( (branch = find_existing_implicit_branch(sig, gnd)) ) {
|
|
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": debug: "
|
|
|
|
|
<< "Re-use implicit branch from "
|
|
|
|
|
<< branch->get_fileline() << endl;
|
|
|
|
|
} else {
|
|
|
|
|
branch = new NetBranch(dis);
|
|
|
|
|
branch->set_line(*this);
|
|
|
|
|
connect(branch->pin(0), sig->pin(0));
|
|
|
|
|
connect(branch->pin(1), gnd->pin(0));
|
|
|
|
|
|
|
|
|
|
des->add_branch(branch);
|
|
|
|
|
join_island(branch);
|
|
|
|
|
|
|
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": debug: "
|
|
|
|
|
<< "Create implicit branch." << endl;
|
|
|
|
|
|
|
|
|
|
}
|
2008-08-05 05:54:05 +02:00
|
|
|
|
|
|
|
|
} else {
|
|
|
|
|
ivl_assert(*this, 0);
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
NetExpr*tmp = new NetEAccess(branch, nature);
|
2008-07-31 03:01:41 +02:00
|
|
|
tmp->set_line(*this);
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
tmp = pad_to_width(tmp, expr_wid, *this);
|
|
|
|
|
tmp->cast_signed(signed_flag_);
|
|
|
|
|
|
2008-07-31 03:01:41 +02:00
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2011-10-05 04:14:48 +02:00
|
|
|
/*
|
|
|
|
|
* Routine to look for and build enumeration method calls.
|
|
|
|
|
*/
|
|
|
|
|
static NetExpr* check_for_enum_methods(const LineInfo*li,
|
|
|
|
|
Design*des, NetScope*scope,
|
2013-04-15 03:03:21 +02:00
|
|
|
const netenum_t*netenum,
|
2011-10-05 04:14:48 +02:00
|
|
|
pform_name_t use_path,
|
|
|
|
|
perm_string method_name,
|
|
|
|
|
NetExpr*expr,
|
2011-10-12 20:03:12 +02:00
|
|
|
unsigned rtn_wid,
|
2011-10-05 04:14:48 +02:00
|
|
|
PExpr*parg, unsigned args)
|
|
|
|
|
{
|
|
|
|
|
// The "num()" method returns the number of elements.
|
|
|
|
|
if (method_name == "num") {
|
|
|
|
|
if (args != 0) {
|
|
|
|
|
cerr << li->get_fileline() << ": error: enumeration "
|
|
|
|
|
"method " << use_path << ".num() does not "
|
|
|
|
|
"take an argument." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
|
|
|
|
NetEConst*tmp = make_const_val(netenum->size());
|
|
|
|
|
tmp->set_line(*li);
|
|
|
|
|
delete expr; // The elaborated enum variable is not needed.
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// The "first()" method returns the first enumeration value.
|
|
|
|
|
if (method_name == "first") {
|
|
|
|
|
if (args != 0) {
|
|
|
|
|
cerr << li->get_fileline() << ": error: enumeration "
|
|
|
|
|
"method " << use_path << ".first() does not "
|
|
|
|
|
"take an argument." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
|
|
|
|
netenum_t::iterator item = netenum->first_name();
|
|
|
|
|
NetEConstEnum*tmp = new NetEConstEnum(scope, item->first,
|
|
|
|
|
netenum, item->second);
|
|
|
|
|
tmp->set_line(*li);
|
|
|
|
|
delete expr; // The elaborated enum variable is not needed.
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// The "last()" method returns the first enumeration value.
|
|
|
|
|
if (method_name == "last") {
|
|
|
|
|
if (args != 0) {
|
|
|
|
|
cerr << li->get_fileline() << ": error: enumeration "
|
|
|
|
|
"method " << use_path << ".last() does not "
|
|
|
|
|
"take an argument." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
|
|
|
|
netenum_t::iterator item = netenum->last_name();
|
|
|
|
|
NetEConstEnum*tmp = new NetEConstEnum(scope, item->first,
|
|
|
|
|
netenum, item->second);
|
|
|
|
|
tmp->set_line(*li);
|
|
|
|
|
delete expr; // The elaborated enum variable is not needed.
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
NetESFunc*sys_expr;
|
|
|
|
|
|
|
|
|
|
// Process the method argument if it is available.
|
|
|
|
|
NetExpr* count = 0;
|
|
|
|
|
if (args != 0 && parg) {
|
2013-09-29 23:48:42 +02:00
|
|
|
count = elaborate_rval_expr(des, scope, &netvector_t::atom2u32,
|
|
|
|
|
IVL_VT_BOOL, 32, parg);
|
2011-10-05 04:14:48 +02:00
|
|
|
if (count == 0) {
|
|
|
|
|
cerr << li->get_fileline() << ": error: unable to elaborate "
|
|
|
|
|
"enumeration method argument " << use_path << "."
|
|
|
|
|
<< method_name << "(" << parg << ")." << endl;
|
|
|
|
|
args = 0;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
} else if (NetEEvent*evt = dynamic_cast<NetEEvent*> (count)) {
|
|
|
|
|
cerr << evt->get_fileline() << ": error: An event '"
|
|
|
|
|
<< evt->event()->name() << "' cannot be an enumeration "
|
|
|
|
|
"method argument." << endl;
|
|
|
|
|
args = 0;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// The "name()" method returns the name of the current
|
|
|
|
|
// enumeration value.
|
|
|
|
|
if (method_name == "name") {
|
|
|
|
|
if (args != 0) {
|
|
|
|
|
cerr << li->get_fileline() << ": error: enumeration "
|
|
|
|
|
"method " << use_path << ".name() does not "
|
|
|
|
|
"take an argument." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
2011-10-12 20:03:12 +02:00
|
|
|
sys_expr = new NetESFunc("$ivl_enum_method$name", IVL_VT_STRING,
|
|
|
|
|
rtn_wid, 2);
|
2011-10-12 04:14:17 +02:00
|
|
|
sys_expr->parm(0, new NetENetenum(netenum));
|
|
|
|
|
sys_expr->parm(1, expr);
|
2011-10-05 04:14:48 +02:00
|
|
|
|
2011-10-12 20:03:12 +02:00
|
|
|
/* The compiler/code generators need to be fixed to support a
|
|
|
|
|
* string return value. In some contexts we could use the
|
|
|
|
|
* expression width, but that doesn't always work. */
|
|
|
|
|
if (rtn_wid == 0) {
|
|
|
|
|
cerr << li->get_fileline() << ": sorry: Enumeration method "
|
|
|
|
|
"name() is not currently supported in this context "
|
|
|
|
|
"(self-determined)." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
|
|
|
|
|
2011-10-05 04:14:48 +02:00
|
|
|
// The "next()" method returns the next enumeration value.
|
|
|
|
|
} else if (method_name == "next") {
|
|
|
|
|
if (args > 1) {
|
|
|
|
|
cerr << li->get_fileline() << ": error: enumeration "
|
|
|
|
|
"method " << use_path << ".next() take at "
|
|
|
|
|
"most one argument." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
2011-10-12 04:14:17 +02:00
|
|
|
sys_expr = new NetESFunc("$ivl_enum_method$next", netenum,
|
2011-10-05 04:14:48 +02:00
|
|
|
2 + (args != 0));
|
|
|
|
|
sys_expr->parm(0, new NetENetenum(netenum));
|
|
|
|
|
sys_expr->parm(1, expr);
|
|
|
|
|
if (args != 0) sys_expr->parm(2, count);
|
|
|
|
|
|
|
|
|
|
// The "prev()" method returns the previous enumeration value.
|
|
|
|
|
} else if (method_name == "prev") {
|
|
|
|
|
if (args > 1) {
|
|
|
|
|
cerr << li->get_fileline() << ": error: enumeration "
|
|
|
|
|
"method " << use_path << ".prev() take at "
|
|
|
|
|
"most one argument." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
2011-10-12 04:14:17 +02:00
|
|
|
sys_expr = new NetESFunc("$ivl_enum_method$prev", netenum,
|
2011-10-05 04:14:48 +02:00
|
|
|
2 + (args != 0));
|
|
|
|
|
sys_expr->parm(0, new NetENetenum(netenum));
|
|
|
|
|
sys_expr->parm(1, expr);
|
|
|
|
|
if (args != 0) sys_expr->parm(2, count);
|
|
|
|
|
|
|
|
|
|
// This is an unknown enumeration method.
|
|
|
|
|
} else {
|
|
|
|
|
cerr << li->get_fileline() << ": error: Unknown enumeration "
|
|
|
|
|
"method " << use_path << "." << method_name << "()."
|
|
|
|
|
<< endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return expr;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
sys_expr->set_line(*li);
|
|
|
|
|
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << li->get_fileline() << ": debug: Generate "
|
|
|
|
|
<< sys_expr->name() << "(" << use_path << ")" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
return sys_expr;
|
|
|
|
|
}
|
|
|
|
|
|
2012-04-03 04:53:04 +02:00
|
|
|
/*
|
|
|
|
|
* If the method matches a structure member then return the member otherwise
|
|
|
|
|
* return 0. Also return the offset of the member.
|
|
|
|
|
*/
|
|
|
|
|
static const netstruct_t::member_t*get_struct_member(const LineInfo*li,
|
|
|
|
|
Design*des, NetScope*,
|
|
|
|
|
NetNet*net,
|
|
|
|
|
perm_string method_name,
|
|
|
|
|
unsigned long&off)
|
2011-12-04 02:16:01 +01:00
|
|
|
{
|
2012-09-30 00:13:45 +02:00
|
|
|
const netstruct_t*type = net->struct_type();
|
2011-12-11 19:28:04 +01:00
|
|
|
ivl_assert(*li, type);
|
|
|
|
|
|
|
|
|
|
if (! type->packed()) {
|
2012-04-03 04:53:04 +02:00
|
|
|
cerr << li->get_fileline()
|
|
|
|
|
<< ": sorry: unpacked structures not supported here. "
|
2011-12-11 19:28:04 +01:00
|
|
|
<< "Method=" << method_name << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2012-04-03 04:53:04 +02:00
|
|
|
return type->packed_member(method_name, off);
|
|
|
|
|
}
|
2012-04-29 22:46:37 +02:00
|
|
|
|
|
|
|
|
bool calculate_part(const LineInfo*li, Design*des, NetScope*scope,
|
|
|
|
|
const index_component_t&index, long&off, unsigned long&wid)
|
|
|
|
|
{
|
2014-07-30 05:41:03 +02:00
|
|
|
if (index.sel == index_component_t::SEL_BIT_LAST) {
|
|
|
|
|
cerr << li->get_fileline() << ": sorry: "
|
|
|
|
|
<< "Last element select expression "
|
|
|
|
|
<< "not supported." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return false;
|
|
|
|
|
}
|
|
|
|
|
|
2012-04-29 22:46:37 +02:00
|
|
|
// Evaluate the last index expression into a constant long.
|
|
|
|
|
NetExpr*texpr = elab_and_eval(des, scope, index.msb, -1, true);
|
|
|
|
|
long msb;
|
|
|
|
|
if (texpr == 0 || !eval_as_long(msb, texpr)) {
|
|
|
|
|
cerr << li->get_fileline() << ": error: "
|
|
|
|
|
"Array/part index expressions must be constant here." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return false;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
delete texpr;
|
|
|
|
|
|
|
|
|
|
long lsb = msb;
|
|
|
|
|
if (index.lsb) {
|
|
|
|
|
texpr = elab_and_eval(des, scope, index.lsb, -1, true);
|
|
|
|
|
if (texpr==0 || !eval_as_long(lsb, texpr)) {
|
|
|
|
|
cerr << li->get_fileline() << ": error: "
|
|
|
|
|
"Array/part index expressions must be constant here." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return false;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
delete texpr;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
switch (index.sel) {
|
|
|
|
|
case index_component_t::SEL_BIT:
|
|
|
|
|
off = msb;
|
|
|
|
|
wid = 1;
|
|
|
|
|
return true;
|
|
|
|
|
|
|
|
|
|
case index_component_t::SEL_PART:
|
|
|
|
|
if (msb >= lsb) {
|
|
|
|
|
off = lsb;
|
|
|
|
|
wid = msb - lsb + 1;
|
|
|
|
|
} else {
|
|
|
|
|
off = msb;
|
|
|
|
|
wid = lsb - msb + 1;
|
|
|
|
|
}
|
|
|
|
|
return true;
|
|
|
|
|
|
2014-03-31 02:37:27 +02:00
|
|
|
case index_component_t::SEL_IDX_UP:
|
|
|
|
|
wid = lsb;
|
|
|
|
|
off = msb;
|
|
|
|
|
break;
|
|
|
|
|
|
2012-04-29 22:46:37 +02:00
|
|
|
default:
|
|
|
|
|
ivl_assert(*li, 0);
|
|
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
return true;
|
|
|
|
|
}
|
|
|
|
|
|
2012-03-26 02:59:05 +02:00
|
|
|
/*
|
|
|
|
|
* Test if the tail name (method_name argument) is a member name and
|
|
|
|
|
* the net is a struct. If that turns out to be the case, and the
|
|
|
|
|
* struct is packed, then return a NetExpr that selects the member out
|
|
|
|
|
* of the variable.
|
|
|
|
|
*/
|
2012-04-03 04:53:04 +02:00
|
|
|
static NetExpr* check_for_struct_members(const LineInfo*li,
|
2012-03-26 02:59:05 +02:00
|
|
|
Design*des, NetScope*scope,
|
2012-08-20 02:27:48 +02:00
|
|
|
NetNet*net,
|
|
|
|
|
const list<index_component_t>&base_index,
|
|
|
|
|
const name_component_t&comp)
|
2012-04-03 04:53:04 +02:00
|
|
|
{
|
2011-12-11 19:28:04 +01:00
|
|
|
unsigned long off;
|
2012-04-03 04:53:04 +02:00
|
|
|
const netstruct_t::member_t*mem = get_struct_member(li, des, 0, net,
|
2012-03-26 02:59:05 +02:00
|
|
|
comp.name, off);
|
2012-04-03 04:53:04 +02:00
|
|
|
if (mem == 0) return 0;
|
2011-12-11 19:28:04 +01:00
|
|
|
|
2013-11-29 21:43:34 +01:00
|
|
|
ivl_assert(*li, mem->net_type && mem->net_type->packed());
|
|
|
|
|
|
|
|
|
|
unsigned use_width = mem->net_type->packed_width();
|
2012-04-29 22:46:37 +02:00
|
|
|
|
2011-12-11 19:28:04 +01:00
|
|
|
if (debug_elaborate) {
|
2012-08-20 02:27:48 +02:00
|
|
|
cerr << li->get_fileline() << ": debug: check_for_struct_members: "
|
2012-04-29 22:46:37 +02:00
|
|
|
<< "Found struct member " << mem->name
|
|
|
|
|
<< " At offset " << off
|
|
|
|
|
<< ", member width = " << use_width << endl;
|
2011-12-11 19:28:04 +01:00
|
|
|
}
|
|
|
|
|
|
2012-08-20 02:27:48 +02:00
|
|
|
// The struct member may be a packed array. Process index
|
|
|
|
|
// expression that address the member element.
|
2012-03-26 02:59:05 +02:00
|
|
|
if ( ! comp.index.empty() ) {
|
2013-11-29 21:43:34 +01:00
|
|
|
const netvector_t*mem_vec = dynamic_cast<const netvector_t*> (mem->net_type);
|
|
|
|
|
ivl_assert(*li, mem_vec);
|
|
|
|
|
|
|
|
|
|
const vector<netrange_t>&packed_dims = mem_vec->packed_dims();
|
|
|
|
|
|
2012-03-26 02:59:05 +02:00
|
|
|
// Evaluate all but the last index expression, into prefix_indices.
|
|
|
|
|
list<long>prefix_indices;
|
|
|
|
|
bool rc = evaluate_index_prefix(des, scope, prefix_indices, comp.index);
|
|
|
|
|
ivl_assert(*li, rc);
|
|
|
|
|
|
2012-04-29 22:46:37 +02:00
|
|
|
// Make sure that index values that select array
|
|
|
|
|
// elements are in fact like bit selects. The tail may
|
|
|
|
|
// be part selects only if we are taking the part-select
|
|
|
|
|
// of the word of an array.
|
2013-11-29 21:43:34 +01:00
|
|
|
ivl_assert(*li, comp.index.size() >= packed_dims.size() || comp.index.back().sel == index_component_t::SEL_BIT);
|
2012-04-29 22:46:37 +02:00
|
|
|
|
|
|
|
|
// Evaluate the part/bit select expressions. This may be
|
|
|
|
|
// a bit select or a part select. In any case, assume
|
|
|
|
|
// the arguments are constant and generate a part select
|
|
|
|
|
// of the appropriate width.
|
|
|
|
|
long poff = 0;
|
|
|
|
|
unsigned long pwid = 0;
|
|
|
|
|
rc = calculate_part(li, des, scope, comp.index.back(), poff, pwid);
|
|
|
|
|
ivl_assert(*li, rc);
|
2012-03-26 02:59:05 +02:00
|
|
|
|
|
|
|
|
// Now use the prefix_to_slice function to calculate the
|
|
|
|
|
// offset and width of the addressed slice of the member.
|
|
|
|
|
long loff;
|
|
|
|
|
unsigned long lwid;
|
2013-11-29 21:43:34 +01:00
|
|
|
prefix_to_slice(packed_dims, prefix_indices, poff, loff, lwid);
|
2012-04-29 22:46:37 +02:00
|
|
|
|
|
|
|
|
if (debug_elaborate) {
|
2012-08-20 02:27:48 +02:00
|
|
|
cerr << li->get_fileline() << ": debug: check_for_struct_members: "
|
2012-04-29 22:46:37 +02:00
|
|
|
<< "Evaluate prefix gives slice loff=" << loff
|
|
|
|
|
<< ", lwid=" << lwid << ", part select pwid=" << pwid << endl;
|
|
|
|
|
}
|
2012-03-26 02:59:05 +02:00
|
|
|
|
|
|
|
|
off += loff;
|
2013-11-29 21:43:34 +01:00
|
|
|
if (comp.index.size() >= packed_dims.size())
|
2012-04-29 22:46:37 +02:00
|
|
|
use_width = pwid;
|
|
|
|
|
else
|
|
|
|
|
use_width = lwid;
|
2012-03-26 02:59:05 +02:00
|
|
|
}
|
|
|
|
|
|
2012-08-20 02:27:48 +02:00
|
|
|
// If the base symbol has dimensions, then this is a packed
|
|
|
|
|
// array of structures. Convert an array of indices to a
|
|
|
|
|
// single part select. For example, "net" is a packed array
|
|
|
|
|
// of struct, and "mem" is the struct member. In Verilog it
|
|
|
|
|
// looks something like "net[idx].mem". We've already
|
|
|
|
|
// converted "mem" to an offset into the packed struct, so now
|
|
|
|
|
// we just canonicalize "[idx]" and add the ".mem" offset to
|
|
|
|
|
// get a collapsed index.
|
|
|
|
|
NetExpr*packed_base = 0;
|
|
|
|
|
if(net->packed_dimensions() > 1) {
|
|
|
|
|
list<index_component_t>tmp_index = base_index;
|
|
|
|
|
index_component_t member_select;
|
|
|
|
|
member_select.sel = index_component_t::SEL_BIT;
|
|
|
|
|
member_select.msb = new PENumber(new verinum(off));
|
|
|
|
|
tmp_index.push_back(member_select);
|
|
|
|
|
packed_base = collapse_array_exprs(des, scope, li, net, tmp_index);
|
|
|
|
|
ivl_assert(*li, packed_base);
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << li->get_fileline() << ": debug: check_for_struct_members: "
|
|
|
|
|
<< "Got collapsed array expr: " << *packed_base << endl;
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
long tmp;
|
|
|
|
|
if (packed_base && eval_as_long(tmp, packed_base)) {
|
|
|
|
|
off = tmp;
|
|
|
|
|
delete packed_base;
|
|
|
|
|
packed_base = 0;
|
|
|
|
|
}
|
|
|
|
|
|
2011-12-11 19:28:04 +01:00
|
|
|
NetESignal*sig = new NetESignal(net);
|
2012-08-20 02:27:48 +02:00
|
|
|
NetExpr *base = packed_base? packed_base : make_const_val(off);
|
|
|
|
|
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << li->get_fileline() << ": debug: check_for_struct_members: "
|
|
|
|
|
<< "Convert packed indices/member select into part select: " << *base << endl;
|
|
|
|
|
}
|
|
|
|
|
|
2012-03-26 02:59:05 +02:00
|
|
|
NetESelect*sel = new NetESelect(sig, base, use_width);
|
2011-12-11 19:28:04 +01:00
|
|
|
return sel;
|
2011-12-04 02:16:01 +01:00
|
|
|
}
|
|
|
|
|
|
2013-07-03 04:41:58 +02:00
|
|
|
static NetExpr* class_static_property_expression(const LineInfo*li,
|
|
|
|
|
const netclass_t*class_type,
|
|
|
|
|
perm_string name)
|
|
|
|
|
{
|
|
|
|
|
NetNet*sig = class_type->find_static_property(name);
|
|
|
|
|
ivl_assert(*li, sig);
|
|
|
|
|
NetESignal*expr = new NetESignal(sig);
|
|
|
|
|
expr->set_line(*li);
|
|
|
|
|
return expr;
|
|
|
|
|
}
|
|
|
|
|
|
2012-11-25 19:13:05 +01:00
|
|
|
static NetExpr* check_for_class_property(const LineInfo*li,
|
2013-06-26 15:16:24 +02:00
|
|
|
Design*des, NetScope*scope,
|
2012-11-25 19:13:05 +01:00
|
|
|
NetNet*net,
|
|
|
|
|
const name_component_t&comp)
|
|
|
|
|
{
|
2013-04-15 03:03:21 +02:00
|
|
|
const netclass_t*class_type = net->class_type();
|
2013-06-26 15:16:24 +02:00
|
|
|
int pidx = class_type->property_idx_from_name(comp.name);
|
|
|
|
|
if (pidx < 0) {
|
2012-11-25 19:13:05 +01:00
|
|
|
cerr << li->get_fileline() << ": error: "
|
|
|
|
|
<< "Class " << class_type->get_name()
|
|
|
|
|
<< " has no property " << comp.name << "." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2013-06-26 15:16:24 +02:00
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << li->get_fileline() << ": check_for_class_property: "
|
|
|
|
|
<< "Property " << comp.name
|
|
|
|
|
<< " of net " << net->name()
|
|
|
|
|
<< ", context scope=" << scope_path(scope)
|
|
|
|
|
<< endl;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
property_qualifier_t qual = class_type->get_prop_qual(pidx);
|
|
|
|
|
if (qual.test_local() && ! class_type->test_scope_is_method(scope)) {
|
|
|
|
|
cerr << li->get_fileline() << ": error: "
|
|
|
|
|
<< "Local property " << class_type->get_prop_name(pidx)
|
|
|
|
|
<< " is not accessible in this context."
|
|
|
|
|
<< " (scope=" << scope_path(scope) << ")" << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
|
|
|
|
|
2013-07-03 04:41:58 +02:00
|
|
|
if (qual.test_static()) {
|
|
|
|
|
perm_string prop_name = lex_strings.make(class_type->get_prop_name(pidx));
|
|
|
|
|
return class_static_property_expression(li, class_type,
|
|
|
|
|
prop_name);
|
|
|
|
|
}
|
|
|
|
|
|
2012-11-25 19:13:05 +01:00
|
|
|
NetEProperty*tmp = new NetEProperty(net, comp.name);
|
|
|
|
|
tmp->set_line(*li);
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2013-04-01 00:46:36 +02:00
|
|
|
NetExpr* PECallFunction::elaborate_expr_pkg_(Design*des, NetScope*scope,
|
|
|
|
|
unsigned expr_wid,
|
|
|
|
|
unsigned flags) const
|
|
|
|
|
{
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PECallFunction::elaborate_expr_pkg_: "
|
|
|
|
|
<< "Elaborate " << path_
|
|
|
|
|
<< " as function in package " << package_->pscope_name()
|
|
|
|
|
<< "." << endl;
|
|
|
|
|
}
|
|
|
|
|
|
2013-04-07 02:38:36 +02:00
|
|
|
// Find the package that contains this definition, and use the
|
|
|
|
|
// package scope as the search starting point for the function
|
|
|
|
|
// definition.
|
|
|
|
|
NetScope*pscope = des->find_package(package_->pscope_name());
|
|
|
|
|
ivl_assert(*this, pscope);
|
|
|
|
|
|
|
|
|
|
NetFuncDef*def = des->find_function(pscope, path_);
|
|
|
|
|
ivl_assert(*this, def);
|
|
|
|
|
|
|
|
|
|
NetScope*dscope = def->scope();
|
|
|
|
|
ivl_assert(*this, dscope);
|
|
|
|
|
|
|
|
|
|
if (! check_call_matches_definition_(des, dscope))
|
|
|
|
|
return 0;
|
|
|
|
|
|
|
|
|
|
return elaborate_base_(des, scope, dscope, expr_wid, flags);
|
2013-04-01 00:46:36 +02:00
|
|
|
}
|
2012-11-25 19:13:05 +01:00
|
|
|
|
2006-06-02 06:48:49 +02:00
|
|
|
NetExpr* PECallFunction::elaborate_expr(Design*des, NetScope*scope,
|
2011-03-27 12:08:33 +02:00
|
|
|
unsigned expr_wid, unsigned flags) const
|
1999-09-25 04:57:29 +02:00
|
|
|
{
|
2013-04-01 00:46:36 +02:00
|
|
|
if (package_)
|
|
|
|
|
return elaborate_expr_pkg_(des, scope, expr_wid, flags);
|
|
|
|
|
|
2011-04-15 23:44:05 +02:00
|
|
|
flags &= ~SYS_TASK_ARG; // don't propagate the SYS_TASK_ARG flag
|
2011-03-27 12:08:33 +02:00
|
|
|
|
2007-05-24 06:07:11 +02:00
|
|
|
if (peek_tail_name(path_)[0] == '$')
|
2011-03-27 12:08:33 +02:00
|
|
|
return elaborate_sfunc_(des, scope, expr_wid, flags);
|
1999-09-25 04:57:29 +02:00
|
|
|
|
2001-12-03 05:47:14 +01:00
|
|
|
NetFuncDef*def = des->find_function(scope, path_);
|
1999-09-25 04:57:29 +02:00
|
|
|
if (def == 0) {
|
2008-07-31 03:01:41 +02:00
|
|
|
// Not a user defined function. Maybe it is an access
|
2008-11-03 06:46:27 +01:00
|
|
|
// function for a nature? If so then elaborate it that
|
|
|
|
|
// way.
|
2008-11-04 06:10:10 +01:00
|
|
|
ivl_nature_t access_nature = find_access_function(path_);
|
2008-11-03 06:46:27 +01:00
|
|
|
if (access_nature)
|
2011-02-26 23:59:52 +01:00
|
|
|
return elaborate_access_func_(des, scope, access_nature,
|
|
|
|
|
expr_wid);
|
2008-07-31 03:01:41 +02:00
|
|
|
|
2012-07-14 03:41:41 +02:00
|
|
|
// Maybe this is a method attached to a signal? If this
|
|
|
|
|
// is SystemVerilog then try that possibility.
|
|
|
|
|
if (gn_system_verilog()) {
|
|
|
|
|
NetExpr*tmp = elaborate_expr_method_(des, scope, expr_wid);
|
|
|
|
|
if (tmp) return tmp;
|
2011-10-05 04:14:48 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// Nothing was found so report this as an error.
|
2011-04-05 21:43:54 +02:00
|
|
|
cerr << get_fileline() << ": error: No function named `" << path_
|
|
|
|
|
<< "' found in this context (" << scope_path(scope) << ")."
|
|
|
|
|
<< endl;
|
1999-09-25 04:57:29 +02:00
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
2000-03-08 05:36:53 +01:00
|
|
|
|
2014-09-17 01:49:40 +02:00
|
|
|
ivl_assert(*this, def);
|
2001-12-03 05:47:14 +01:00
|
|
|
NetScope*dscope = def->scope();
|
2008-07-31 03:01:41 +02:00
|
|
|
ivl_assert(*this, dscope);
|
2000-03-08 05:36:53 +01:00
|
|
|
|
2014-09-17 01:49:40 +02:00
|
|
|
/* In SystemVerilog a method calling another method in the
|
|
|
|
|
* current class needs to be elaborated as a method with an
|
|
|
|
|
* implicit this added. */
|
|
|
|
|
if (gn_system_verilog() && (path_.size() == 1)) {
|
|
|
|
|
const NetScope *c_scope = scope->get_class_scope();
|
|
|
|
|
if (c_scope && (c_scope == dscope->get_class_scope())) {
|
|
|
|
|
NetExpr*tmp = elaborate_expr_method_(des, scope, expr_wid,
|
|
|
|
|
true);
|
|
|
|
|
assert(tmp);
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2011-04-05 21:43:54 +02:00
|
|
|
bool need_const = NEED_CONST & flags;
|
|
|
|
|
|
|
|
|
|
// It is possible to get here before the called function has been
|
|
|
|
|
// fully elaborated. If this is the case, elaborate it now. This
|
|
|
|
|
// ensures we know whether or not it is a constant function.
|
|
|
|
|
if (dscope->elab_stage() < 3) {
|
2013-03-11 20:46:00 +01:00
|
|
|
dscope->need_const_func(need_const || scope->need_const_func());
|
2011-04-05 21:43:54 +02:00
|
|
|
const PFunction*pfunc = dscope->func_pform();
|
|
|
|
|
ivl_assert(*this, pfunc);
|
|
|
|
|
pfunc->elaborate(des, dscope);
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (dscope->parent() != scope->parent() || !dscope->is_const_func()) {
|
|
|
|
|
if (scope->need_const_func()) {
|
|
|
|
|
cerr << get_fileline() << ": error: A function invoked by "
|
|
|
|
|
"a constant function must be a constant function "
|
|
|
|
|
"local to the current module." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
|
|
|
|
scope->is_const_func(false);
|
|
|
|
|
}
|
|
|
|
|
|
2013-04-07 02:38:36 +02:00
|
|
|
return elaborate_base_(des, scope, dscope, expr_wid, flags);
|
|
|
|
|
}
|
|
|
|
|
|
2014-12-18 17:38:34 +01:00
|
|
|
NetExpr* PECallFunction::elaborate_expr(Design*des, NetScope*scope,
|
|
|
|
|
ivl_type_t type, unsigned flags) const
|
|
|
|
|
{
|
|
|
|
|
const netdarray_t*darray = dynamic_cast<const netdarray_t*>(type);
|
|
|
|
|
assert(darray);
|
|
|
|
|
return elaborate_expr(des, scope, darray->element_type()->packed_width(), flags);
|
|
|
|
|
}
|
|
|
|
|
|
2013-04-07 02:38:36 +02:00
|
|
|
NetExpr* PECallFunction::elaborate_base_(Design*des, NetScope*scope, NetScope*dscope,
|
|
|
|
|
unsigned expr_wid, unsigned flags) const
|
|
|
|
|
{
|
|
|
|
|
|
2002-03-09 03:10:22 +01:00
|
|
|
if (! check_call_matches_definition_(des, dscope))
|
|
|
|
|
return 0;
|
2001-01-13 23:20:08 +01:00
|
|
|
|
2013-04-07 02:38:36 +02:00
|
|
|
NetFuncDef*def = dscope->func_def();
|
|
|
|
|
|
|
|
|
|
bool need_const = NEED_CONST & flags;
|
|
|
|
|
|
2013-06-01 23:39:43 +02:00
|
|
|
// If this is a constant expression, it is possible that we
|
|
|
|
|
// are being elaborated before the function definition. If
|
|
|
|
|
// that's the case, try to elaborate the function as a const
|
|
|
|
|
// function.
|
|
|
|
|
if (need_const && ! def->proc()) {
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PECallFunction::elaborate_base_: "
|
|
|
|
|
<< "Try to elaborate " << scope_path(dscope)
|
|
|
|
|
<< " as constant function." << endl;
|
|
|
|
|
}
|
|
|
|
|
dscope->set_elab_stage(2);
|
|
|
|
|
dscope->need_const_func(true);
|
|
|
|
|
const PFunction*pfunc = dscope->func_pform();
|
|
|
|
|
ivl_assert(*this, pfunc);
|
|
|
|
|
pfunc->elaborate(des, dscope);
|
|
|
|
|
}
|
|
|
|
|
|
2013-09-21 05:38:53 +02:00
|
|
|
unsigned parms_count = def->port_count();
|
2012-05-29 01:49:41 +02:00
|
|
|
vector<NetExpr*> parms (parms_count);
|
1999-09-25 04:57:29 +02:00
|
|
|
|
2013-09-21 05:38:53 +02:00
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PECallFunction::elaborate_base_: "
|
|
|
|
|
<< "Expecting " << parms_count
|
2013-09-29 23:48:42 +02:00
|
|
|
<< " argument for function " << scope_path(dscope) << "." << endl;
|
2013-09-21 05:38:53 +02:00
|
|
|
}
|
|
|
|
|
|
2000-03-08 05:36:53 +01:00
|
|
|
/* Elaborate the input expressions for the function. This is
|
|
|
|
|
done in the scope of the function call, and not the scope
|
|
|
|
|
of the function being called. The scope of the called
|
|
|
|
|
function is elaborated when the definition is elaborated. */
|
2013-03-24 19:59:33 +01:00
|
|
|
unsigned parm_errors = elaborate_arguments_(des, scope,
|
|
|
|
|
def, need_const,
|
|
|
|
|
parms, 0);
|
1999-09-25 04:57:29 +02:00
|
|
|
|
2011-04-05 21:43:54 +02:00
|
|
|
if (need_const && !dscope->is_const_func()) {
|
|
|
|
|
|
|
|
|
|
// If this is the first time the function has been called in
|
|
|
|
|
// a constant context, force the function to be re-elaborated.
|
|
|
|
|
// This will generate the necessary error messages to allow
|
|
|
|
|
// the user to diagnose the fault.
|
|
|
|
|
if (!dscope->need_const_func()) {
|
|
|
|
|
dscope->set_elab_stage(2);
|
|
|
|
|
dscope->need_const_func(true);
|
|
|
|
|
const PFunction*pfunc = dscope->func_pform();
|
|
|
|
|
ivl_assert(*this, pfunc);
|
|
|
|
|
pfunc->elaborate(des, dscope);
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
cerr << get_fileline() << ": error: `" << dscope->basename()
|
|
|
|
|
<< "' is not a constant function." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2013-03-24 19:59:33 +01:00
|
|
|
if (parm_errors)
|
2011-04-02 23:31:19 +02:00
|
|
|
return 0;
|
2000-03-08 05:36:53 +01:00
|
|
|
|
|
|
|
|
/* Look for the return value signal for the called
|
|
|
|
|
function. This return value is a magic signal in the scope
|
|
|
|
|
of the function, that has the name of the function. The
|
2001-06-23 21:53:03 +02:00
|
|
|
function code assigns to this signal to return a value.
|
2000-03-08 05:36:53 +01:00
|
|
|
|
2001-06-23 21:53:03 +02:00
|
|
|
dscope, in this case, is the scope of the function, so the
|
|
|
|
|
return value is the name within that scope. */
|
|
|
|
|
|
2004-06-01 01:34:36 +02:00
|
|
|
if (NetNet*res = dscope->find_signal(dscope->basename())) {
|
|
|
|
|
NetESignal*eres = new NetESignal(res);
|
2011-04-05 21:43:54 +02:00
|
|
|
NetEUFunc*func = new NetEUFunc(scope, dscope, eres, parms, need_const);
|
2007-03-06 06:22:49 +01:00
|
|
|
func->set_line(*this);
|
2011-02-26 23:59:52 +01:00
|
|
|
|
2014-12-18 17:38:34 +01:00
|
|
|
if(res->darray_type())
|
|
|
|
|
return func;
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
NetExpr*tmp = pad_to_width(func, expr_wid, *this);
|
|
|
|
|
tmp->cast_signed(signed_flag_);
|
|
|
|
|
|
|
|
|
|
return tmp;
|
2004-06-01 01:34:36 +02:00
|
|
|
}
|
|
|
|
|
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": internal error: Unable to locate "
|
2004-06-01 01:34:36 +02:00
|
|
|
"function return value for " << path_
|
2007-06-02 05:42:12 +02:00
|
|
|
<< " in " << dscope->basename() << "." << endl;
|
2004-06-01 01:34:36 +02:00
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
1999-09-25 04:57:29 +02:00
|
|
|
}
|
|
|
|
|
|
2013-03-24 19:59:33 +01:00
|
|
|
/*
|
|
|
|
|
* Elaborate the arguments of a function or method. The parms vector
|
|
|
|
|
* is where to place the elaborated expressions, so it an output. The
|
|
|
|
|
* parm_off is where in the parms vector to start writing
|
|
|
|
|
* arguments. This value is normally 0, but is 1 if this is a method
|
|
|
|
|
* so that parms[0] can hold the "this" argument. In this latter case,
|
|
|
|
|
* def->port(0) will be the "this" argument and should be skipped.
|
|
|
|
|
*/
|
|
|
|
|
unsigned PECallFunction::elaborate_arguments_(Design*des, NetScope*scope,
|
|
|
|
|
NetFuncDef*def, bool need_const,
|
|
|
|
|
vector<NetExpr*>&parms,
|
|
|
|
|
unsigned parm_off) const
|
|
|
|
|
{
|
|
|
|
|
unsigned parm_errors = 0;
|
|
|
|
|
unsigned missing_parms = 0;
|
|
|
|
|
|
|
|
|
|
const unsigned parm_count = parms.size() - parm_off;
|
2013-11-21 01:48:15 +01:00
|
|
|
const unsigned actual_count = parms_.size();
|
2013-03-24 19:59:33 +01:00
|
|
|
|
2015-06-21 10:07:11 +02:00
|
|
|
/* The parser can't distinguish between a function call with
|
|
|
|
|
no arguments and a function call with one empty argument,
|
|
|
|
|
and always supplies one empty argument. Handle the no
|
|
|
|
|
argument case here. */
|
|
|
|
|
if ((parm_count == 0) && (actual_count == 1) && (parms_[0] == 0))
|
|
|
|
|
return 0;
|
|
|
|
|
|
|
|
|
|
if (actual_count > parm_count) {
|
|
|
|
|
cerr << get_fileline() << ": error: "
|
|
|
|
|
<< "Too many arguments (" << actual_count
|
|
|
|
|
<< ", expecting " << parm_count << ")"
|
|
|
|
|
<< " in call to function." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
|
|
|
|
|
2013-03-24 19:59:33 +01:00
|
|
|
for (unsigned idx = 0 ; idx < parm_count ; idx += 1) {
|
|
|
|
|
unsigned pidx = idx + parm_off;
|
2013-11-21 01:48:15 +01:00
|
|
|
PExpr*tmp = (idx < actual_count) ? parms_[idx] : 0;
|
2013-03-24 19:59:33 +01:00
|
|
|
if (tmp) {
|
|
|
|
|
parms[pidx] = elaborate_rval_expr(des, scope,
|
2013-09-29 23:48:42 +02:00
|
|
|
def->port(pidx)->net_type(),
|
2013-03-24 19:59:33 +01:00
|
|
|
def->port(pidx)->data_type(),
|
|
|
|
|
(unsigned)def->port(pidx)->vector_width(),
|
|
|
|
|
tmp, need_const);
|
|
|
|
|
if (parms[pidx] == 0) {
|
|
|
|
|
parm_errors += 1;
|
|
|
|
|
continue;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (NetEEvent*evt = dynamic_cast<NetEEvent*> (parms[pidx])) {
|
|
|
|
|
cerr << evt->get_fileline() << ": error: An event '"
|
|
|
|
|
<< evt->event()->name() << "' can not be a user "
|
|
|
|
|
"function argument." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
|
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": debug:"
|
|
|
|
|
<< " function " << path_
|
|
|
|
|
<< " arg " << (idx+1)
|
|
|
|
|
<< " argwid=" << parms[pidx]->expr_width()
|
|
|
|
|
<< ": " << *parms[idx] << endl;
|
2013-09-21 05:38:53 +02:00
|
|
|
|
|
|
|
|
} else if (def->port_defe(pidx)) {
|
|
|
|
|
if (! gn_system_verilog()) {
|
|
|
|
|
cerr << get_fileline() << ": internal error: "
|
2015-06-21 10:07:11 +02:00
|
|
|
<< "Found (and using) default function argument "
|
2013-09-21 05:38:53 +02:00
|
|
|
<< "requires SystemVerilog." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
|
|
|
|
parms[pidx] = def->port_defe(pidx);
|
|
|
|
|
|
2013-03-24 19:59:33 +01:00
|
|
|
} else {
|
|
|
|
|
missing_parms += 1;
|
|
|
|
|
parms[pidx] = 0;
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (missing_parms > 0) {
|
|
|
|
|
cerr << get_fileline() << ": error: The function " << path_
|
2015-06-21 10:07:11 +02:00
|
|
|
<< " has been called with missing/empty parameters." << endl;
|
2013-03-24 19:59:33 +01:00
|
|
|
cerr << get_fileline() << ": : Verilog doesn't allow "
|
|
|
|
|
<< "passing empty parameters to functions." << endl;
|
|
|
|
|
parm_errors += 1;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
return parm_errors;
|
|
|
|
|
}
|
|
|
|
|
|
2012-07-14 03:41:41 +02:00
|
|
|
NetExpr* PECallFunction::elaborate_expr_method_(Design*des, NetScope*scope,
|
2014-09-17 01:49:40 +02:00
|
|
|
unsigned expr_wid,
|
|
|
|
|
bool add_this_flag) const
|
2012-07-14 03:41:41 +02:00
|
|
|
{
|
|
|
|
|
pform_name_t use_path = path_;
|
|
|
|
|
perm_string method_name = peek_tail_name(use_path);
|
|
|
|
|
use_path.pop_back();
|
|
|
|
|
|
2014-09-17 01:49:40 +02:00
|
|
|
/* Add the implicit this reference when requested. */
|
|
|
|
|
if (add_this_flag) {
|
|
|
|
|
assert(use_path.empty());
|
|
|
|
|
use_path.push_front(name_component_t(perm_string::literal("@")));
|
|
|
|
|
}
|
|
|
|
|
|
2013-06-01 04:37:41 +02:00
|
|
|
// If there is no object to the left of the method name, then
|
|
|
|
|
// give up on the idea of looking for an object method.
|
2014-09-17 01:49:40 +02:00
|
|
|
if (use_path.empty()) return 0;
|
2013-06-01 04:37:41 +02:00
|
|
|
|
2013-01-27 21:30:38 +01:00
|
|
|
NetNet *net = 0;
|
2012-07-14 03:41:41 +02:00
|
|
|
const NetExpr *par;
|
|
|
|
|
NetEvent *eve;
|
|
|
|
|
const NetExpr *ex1, *ex2;
|
|
|
|
|
|
|
|
|
|
symbol_search(this, des, scope, use_path,
|
|
|
|
|
net, par, eve, ex1, ex2);
|
|
|
|
|
|
|
|
|
|
if (net == 0)
|
|
|
|
|
return 0;
|
|
|
|
|
|
|
|
|
|
if (net->data_type() == IVL_VT_STRING) {
|
|
|
|
|
|
|
|
|
|
if (method_name == "len") {
|
|
|
|
|
NetESFunc*sys_expr = new NetESFunc("$ivl_string_method$len",
|
|
|
|
|
IVL_VT_BOOL, 32, 1);
|
|
|
|
|
sys_expr->parm(0, new NetESignal(net));
|
|
|
|
|
return sys_expr;
|
|
|
|
|
}
|
2013-01-05 20:40:12 +01:00
|
|
|
|
|
|
|
|
if (method_name == "substr") {
|
|
|
|
|
NetESFunc*sys_expr = new NetESFunc("$ivl_string_method$substr",
|
|
|
|
|
IVL_VT_STRING, 1, 3);
|
|
|
|
|
sys_expr->set_line(*this);
|
|
|
|
|
|
|
|
|
|
// First argument is the source string.
|
|
|
|
|
sys_expr->parm(0, new NetESignal(net));
|
|
|
|
|
|
|
|
|
|
ivl_assert(*this, parms_.size() == 2);
|
|
|
|
|
NetExpr*tmp;
|
|
|
|
|
|
2013-09-29 23:48:42 +02:00
|
|
|
tmp = elaborate_rval_expr(des, scope, &netvector_t::atom2u32,
|
|
|
|
|
IVL_VT_BOOL, 32, parms_[0], false);
|
2013-01-05 20:40:12 +01:00
|
|
|
sys_expr->parm(1, tmp);
|
|
|
|
|
|
2013-09-29 23:48:42 +02:00
|
|
|
tmp = elaborate_rval_expr(des, scope, &netvector_t::atom2u32,
|
|
|
|
|
IVL_VT_BOOL, 32, parms_[1], false);
|
2013-01-05 20:40:12 +01:00
|
|
|
sys_expr->parm(2, tmp);
|
|
|
|
|
|
|
|
|
|
return sys_expr;
|
|
|
|
|
}
|
2012-07-14 03:41:41 +02:00
|
|
|
}
|
|
|
|
|
|
2013-04-15 03:03:21 +02:00
|
|
|
if (const netenum_t*netenum = net->enumeration()) {
|
2012-07-14 03:41:41 +02:00
|
|
|
// We may need the net expression for the
|
|
|
|
|
// enumeration variable so get it.
|
|
|
|
|
NetESignal*expr = new NetESignal(net);
|
|
|
|
|
expr->set_line(*this);
|
|
|
|
|
// This expression cannot be a select!
|
|
|
|
|
assert(use_path.back().index.empty());
|
|
|
|
|
|
|
|
|
|
PExpr*tmp = parms_.size() ? parms_[0] : 0;
|
|
|
|
|
return check_for_enum_methods(this, des, scope,
|
|
|
|
|
netenum, use_path,
|
|
|
|
|
method_name, expr,
|
|
|
|
|
expr_wid, tmp,
|
|
|
|
|
parms_.size());
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (net->darray_type()) {
|
|
|
|
|
|
|
|
|
|
if (method_name == "size") {
|
2014-08-10 05:43:53 +02:00
|
|
|
NetESFunc*sys_expr = new NetESFunc("$size",
|
2012-07-14 03:41:41 +02:00
|
|
|
IVL_VT_BOOL, 32, 1);
|
|
|
|
|
sys_expr->parm(0, new NetESignal(net));
|
|
|
|
|
sys_expr->set_line(*this);
|
|
|
|
|
return sys_expr;
|
|
|
|
|
}
|
2014-08-17 02:07:54 +02:00
|
|
|
|
|
|
|
|
if (method_name == "pop_back") {
|
|
|
|
|
NetESFunc*sys_expr = new NetESFunc("$ivl_darray_method$pop_back",
|
|
|
|
|
expr_type_,
|
|
|
|
|
expr_width_, 1);
|
|
|
|
|
sys_expr->parm(0, new NetESignal(net));
|
|
|
|
|
sys_expr->set_line(*this);
|
|
|
|
|
return sys_expr;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (method_name == "pop_front") {
|
|
|
|
|
NetESFunc*sys_expr = new NetESFunc("$ivl_darray_method$pop_front",
|
|
|
|
|
expr_type_,
|
|
|
|
|
expr_width_, 1);
|
|
|
|
|
sys_expr->parm(0, new NetESignal(net));
|
|
|
|
|
sys_expr->set_line(*this);
|
|
|
|
|
return sys_expr;
|
|
|
|
|
}
|
|
|
|
|
|
2012-07-14 03:41:41 +02:00
|
|
|
}
|
|
|
|
|
|
2013-04-15 03:03:21 +02:00
|
|
|
if (const netclass_t*class_type = net->class_type()) {
|
2013-03-15 04:08:32 +01:00
|
|
|
NetScope*func = class_type->method_from_name(method_name);
|
|
|
|
|
if (func == 0) {
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2013-03-24 19:59:33 +01:00
|
|
|
NetFuncDef*def = func->func_def();
|
|
|
|
|
ivl_assert(*this, def);
|
|
|
|
|
|
2013-03-15 04:08:32 +01:00
|
|
|
NetNet*res = func->find_signal(func->basename());
|
|
|
|
|
ivl_assert(*this, res);
|
|
|
|
|
|
|
|
|
|
vector<NetExpr*>parms;
|
2013-03-20 03:57:28 +01:00
|
|
|
|
|
|
|
|
NetESignal*ethis = new NetESignal(net);
|
|
|
|
|
ethis->set_line(*this);
|
|
|
|
|
parms.push_back(ethis);
|
|
|
|
|
|
2013-03-24 19:59:33 +01:00
|
|
|
parms.resize(1 + parms_.size());
|
|
|
|
|
elaborate_arguments_(des, scope, def, false, parms, 1);
|
2013-03-15 04:08:32 +01:00
|
|
|
|
|
|
|
|
NetESignal*eres = new NetESignal(res);
|
|
|
|
|
NetEUFunc*call = new NetEUFunc(scope, func, eres, parms, false);
|
|
|
|
|
call->set_line(*this);
|
|
|
|
|
return call;
|
|
|
|
|
}
|
|
|
|
|
|
2012-07-14 03:41:41 +02:00
|
|
|
return 0;
|
|
|
|
|
}
|
2012-07-01 02:05:25 +02:00
|
|
|
|
2011-09-19 04:21:46 +02:00
|
|
|
unsigned PECastSize::test_width(Design*des, NetScope*scope, width_mode_t&)
|
|
|
|
|
{
|
|
|
|
|
expr_width_ = size_;
|
|
|
|
|
|
|
|
|
|
width_mode_t tmp_mode = PExpr::SIZED;
|
|
|
|
|
base_->test_width(des, scope, tmp_mode);
|
|
|
|
|
|
|
|
|
|
return size_;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
NetExpr* PECastSize::elaborate_expr(Design*des, NetScope*scope,
|
|
|
|
|
unsigned, unsigned) const
|
|
|
|
|
{
|
|
|
|
|
NetExpr*sub = base_->elaborate_expr(des, scope, base_->expr_width(), NO_FLAGS);
|
|
|
|
|
NetESelect*sel = new NetESelect(sub, 0, size_);
|
|
|
|
|
sel->set_line(*this);
|
|
|
|
|
|
|
|
|
|
return sel;
|
|
|
|
|
}
|
|
|
|
|
|
2014-10-31 09:55:36 +01:00
|
|
|
unsigned PECastType::test_width(Design*des, NetScope*scope, width_mode_t&wid)
|
|
|
|
|
{
|
|
|
|
|
ivl_type_t t = target_->elaborate_type(des, scope);
|
|
|
|
|
base_->test_width(des, scope, wid);
|
|
|
|
|
|
|
|
|
|
if(const netdarray_t*use_darray = dynamic_cast<const netdarray_t*> (t)) {
|
|
|
|
|
expr_type_ = use_darray->element_base_type();
|
|
|
|
|
expr_width_ = use_darray->element_width();
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
else if(const netstring_t*use_string = dynamic_cast<const netstring_t*> (t)) {
|
|
|
|
|
expr_type_ = use_string->base_type();
|
2014-11-06 10:04:21 +01:00
|
|
|
expr_width_ = 8;
|
2014-10-31 09:55:36 +01:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
else {
|
2014-11-06 16:21:24 +01:00
|
|
|
expr_type_ = t->base_type();
|
2014-11-03 10:49:05 +01:00
|
|
|
expr_width_ = t->packed_width();
|
2014-10-31 09:55:36 +01:00
|
|
|
}
|
|
|
|
|
|
2015-01-20 13:48:15 +01:00
|
|
|
signed_flag_ = t->get_signed();
|
2014-10-31 09:55:36 +01:00
|
|
|
min_width_ = expr_width_;
|
|
|
|
|
return expr_width_;
|
|
|
|
|
}
|
|
|
|
|
|
2015-01-21 10:03:20 +01:00
|
|
|
NetExpr* PECastType::elaborate_expr(Design*des, NetScope*scope,
|
|
|
|
|
ivl_type_t type, unsigned) const
|
|
|
|
|
{
|
|
|
|
|
const netdarray_t*darray = NULL;
|
|
|
|
|
const netvector_t*vector = NULL;
|
|
|
|
|
|
|
|
|
|
// Casting array of vectors to dynamic array type
|
|
|
|
|
if((darray = dynamic_cast<const netdarray_t*>(type)) &&
|
|
|
|
|
(vector = dynamic_cast<const netvector_t*>(darray->element_type()))) {
|
|
|
|
|
PExpr::width_mode_t mode = PExpr::SIZED;
|
|
|
|
|
unsigned use_wid = base_->test_width(des, scope, mode);
|
|
|
|
|
NetExpr*base = base_->elaborate_expr(des, scope, use_wid, NO_FLAGS);
|
|
|
|
|
|
|
|
|
|
assert(vector->packed_width() > 0);
|
|
|
|
|
assert(base->expr_width() > 0);
|
|
|
|
|
|
|
|
|
|
// Find rounded up length that can fit the whole casted array of vectors
|
|
|
|
|
int len = base->expr_width() + vector->packed_width() - 1;
|
|
|
|
|
if(base->expr_width() > vector->packed_width()) {
|
|
|
|
|
len /= vector->packed_width();
|
|
|
|
|
} else {
|
|
|
|
|
len /= base->expr_width();
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// Number of words in the created dynamic array
|
|
|
|
|
NetEConst*len_expr = new NetEConst(verinum(len));
|
|
|
|
|
return new NetENew(type, len_expr, base);
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// Fallback
|
|
|
|
|
return elaborate_expr(des, scope, (unsigned) 0, 0);
|
|
|
|
|
}
|
|
|
|
|
|
2014-10-31 09:55:36 +01:00
|
|
|
NetExpr* PECastType::elaborate_expr(Design*des, NetScope*scope,
|
|
|
|
|
unsigned, unsigned) const
|
|
|
|
|
{
|
2014-11-05 16:46:55 +01:00
|
|
|
NetExpr*expr = base_->elaborate_expr(des, scope, base_->expr_width(), NO_FLAGS);
|
2014-11-03 10:49:05 +01:00
|
|
|
|
2014-11-07 10:02:12 +01:00
|
|
|
if(dynamic_cast<const real_type_t*>(target_)) {
|
2014-11-05 16:46:55 +01:00
|
|
|
return cast_to_real(expr);
|
|
|
|
|
}
|
2014-10-31 09:55:36 +01:00
|
|
|
|
2014-11-07 10:02:12 +01:00
|
|
|
if(const atom2_type_t*atom = dynamic_cast<const atom2_type_t*>(target_)) {
|
|
|
|
|
if(base_->expr_width() > expr_width_) {
|
|
|
|
|
cerr << get_fileline() << ": cast type is not wide enough to store the result." << endl;
|
|
|
|
|
ivl_assert(*this, 0);
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if(base_->has_sign() != atom->signed_flag) {
|
|
|
|
|
cerr << get_fileline() << ": cast type and subject differ in signedness." << endl;
|
|
|
|
|
ivl_assert(*this, 0);
|
|
|
|
|
}
|
|
|
|
|
|
2014-11-06 16:21:24 +01:00
|
|
|
// That is how you both resize & cast to integers
|
|
|
|
|
return new NetECast('2', expr, expr_width_, expr->has_sign());
|
2014-11-05 16:46:55 +01:00
|
|
|
}
|
2014-11-03 10:49:05 +01:00
|
|
|
|
2014-11-07 10:02:12 +01:00
|
|
|
if(const vector_type_t*vec = dynamic_cast<const vector_type_t*>(target_)) {
|
2014-11-06 10:04:21 +01:00
|
|
|
switch(vec->base_type) {
|
|
|
|
|
case IVL_VT_BOOL:
|
|
|
|
|
return cast_to_int2(expr, expr_width_);
|
|
|
|
|
|
|
|
|
|
case IVL_VT_LOGIC:
|
|
|
|
|
return cast_to_int4(expr, expr_width_);
|
|
|
|
|
|
|
|
|
|
default:
|
|
|
|
|
break; /* Suppress warnings */
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2014-11-07 15:31:56 +01:00
|
|
|
else if(dynamic_cast<const string_type_t*>(target_)) {
|
|
|
|
|
if(base_->expr_type() == IVL_VT_STRING)
|
|
|
|
|
return expr; // no conversion
|
|
|
|
|
|
|
|
|
|
if((base_->expr_type() != IVL_VT_BOOL) &&
|
|
|
|
|
(base_->expr_type() != IVL_VT_LOGIC)) {
|
|
|
|
|
cerr << get_fileline() << ": cannot be casted to string." << endl;
|
|
|
|
|
ivl_assert(*this, false);
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
return expr;
|
|
|
|
|
}
|
|
|
|
|
|
2014-11-07 10:02:12 +01:00
|
|
|
cerr << get_fileline() << ": sorry: I don't know how to cast expression." << endl;
|
2014-11-05 16:46:55 +01:00
|
|
|
ivl_assert(*this, false);
|
2014-10-31 09:55:36 +01:00
|
|
|
|
2014-11-05 16:46:55 +01:00
|
|
|
return expr;
|
2014-10-31 09:55:36 +01:00
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned PEConcat::test_width(Design*des, NetScope*scope, width_mode_t&)
|
2008-10-19 07:00:22 +02:00
|
|
|
{
|
2011-02-26 23:59:52 +01:00
|
|
|
expr_width_ = 0;
|
2012-06-25 00:33:40 +02:00
|
|
|
enum {NO, MAYBE, YES} expr_is_string = MAYBE;
|
2010-10-26 04:36:44 +02:00
|
|
|
for (unsigned idx = 0 ; idx < parms_.size() ; idx += 1) {
|
2012-06-25 00:33:40 +02:00
|
|
|
// Add in the width of this sub-expression.
|
2011-02-26 23:59:52 +01:00
|
|
|
expr_width_ += parms_[idx]->test_width(des, scope, width_modes_[idx]);
|
2012-06-25 00:33:40 +02:00
|
|
|
|
|
|
|
|
// If we already know this is not a string, then move on.
|
|
|
|
|
if (expr_is_string == NO)
|
|
|
|
|
continue;
|
|
|
|
|
|
|
|
|
|
// If this expression is a string, then the
|
|
|
|
|
// concatenation is a string until we find a reason to
|
|
|
|
|
// deny it.
|
|
|
|
|
if (parms_[idx]->expr_type()==IVL_VT_STRING) {
|
|
|
|
|
expr_is_string = YES;
|
|
|
|
|
continue;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// If this is a string literal, then this may yet be a string.
|
|
|
|
|
if (dynamic_cast<PEString*> (parms_[idx]))
|
|
|
|
|
continue;
|
|
|
|
|
|
|
|
|
|
// Failed to allow a string result.
|
|
|
|
|
expr_is_string = NO;
|
2008-11-19 01:52:05 +01:00
|
|
|
}
|
2008-11-12 06:09:19 +01:00
|
|
|
|
2012-06-25 00:33:40 +02:00
|
|
|
expr_type_ = (expr_is_string==YES)? IVL_VT_STRING : IVL_VT_LOGIC;
|
2011-02-26 23:59:52 +01:00
|
|
|
signed_flag_ = false;
|
2007-08-10 07:08:54 +02:00
|
|
|
|
2012-06-25 00:33:40 +02:00
|
|
|
// If there is a repeat expression, then evaluate the constant
|
|
|
|
|
// value and set the repeat count.
|
2011-02-26 23:59:52 +01:00
|
|
|
if (repeat_ && (scope != tested_scope_)) {
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr*tmp = elab_and_eval(des, scope, repeat_, -1, true);
|
2011-02-26 23:59:52 +01:00
|
|
|
if (tmp == 0) return 0;
|
2009-02-14 03:25:54 +01:00
|
|
|
|
|
|
|
|
if (tmp->expr_type() == IVL_VT_REAL) {
|
2009-04-09 23:48:16 +02:00
|
|
|
cerr << tmp->get_fileline() << ": error: Concatenation "
|
2009-02-14 03:25:54 +01:00
|
|
|
<< "repeat expression can not be REAL." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2001-02-10 21:29:39 +01:00
|
|
|
NetEConst*rep = dynamic_cast<NetEConst*>(tmp);
|
|
|
|
|
|
|
|
|
|
if (rep == 0) {
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": error: "
|
2011-02-26 23:59:52 +01:00
|
|
|
"Concatenation repeat expression is not constant."
|
2000-01-01 07:18:00 +01:00
|
|
|
<< endl;
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": : The expression is: "
|
2001-02-10 21:29:39 +01:00
|
|
|
<< *tmp << endl;
|
2000-01-01 07:18:00 +01:00
|
|
|
des->errors += 1;
|
2009-02-14 03:25:54 +01:00
|
|
|
return 0;
|
2000-01-01 07:18:00 +01:00
|
|
|
}
|
|
|
|
|
|
2008-05-26 20:14:59 +02:00
|
|
|
if (!rep->value().is_defined()) {
|
|
|
|
|
cerr << get_fileline() << ": error: Concatenation repeat "
|
|
|
|
|
<< "may not be undefined (" << rep->value()
|
|
|
|
|
<< ")." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2008-03-25 23:17:47 +01:00
|
|
|
if (rep->value().is_negative()) {
|
|
|
|
|
cerr << get_fileline() << ": error: Concatenation repeat "
|
|
|
|
|
<< "may not be negative (" << rep->value().as_long()
|
|
|
|
|
<< ")." << endl;
|
|
|
|
|
des->errors += 1;
|
2008-05-01 03:48:36 +02:00
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
repeat_count_ = rep->value().as_ulong();
|
|
|
|
|
|
|
|
|
|
tested_scope_ = scope;
|
|
|
|
|
}
|
|
|
|
|
expr_width_ *= repeat_count_;
|
|
|
|
|
min_width_ = expr_width_;
|
|
|
|
|
|
|
|
|
|
return expr_width_;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// Keep track of the concatenation/repeat depth.
|
|
|
|
|
static int concat_depth = 0;
|
|
|
|
|
|
2014-09-02 21:19:58 +02:00
|
|
|
NetExpr* PEConcat::elaborate_expr(Design*, NetScope*,
|
|
|
|
|
ivl_type_t type, unsigned /*flags*/) const
|
|
|
|
|
{
|
|
|
|
|
switch (type->base_type()) {
|
|
|
|
|
case IVL_VT_QUEUE:
|
|
|
|
|
if (parms_.size() == 0) {
|
|
|
|
|
NetENull*tmp = new NetENull;
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
default:
|
|
|
|
|
cerr << get_fileline() << ": internal error: "
|
|
|
|
|
<< "I don't know how to elaborate(ivl_type_t)"
|
|
|
|
|
<< " this expression: " << *this << endl;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
NetExpr* PEConcat::elaborate_expr(Design*des, NetScope*scope,
|
2011-03-27 12:08:33 +02:00
|
|
|
unsigned expr_wid, unsigned flags) const
|
2011-02-26 23:59:52 +01:00
|
|
|
{
|
2011-04-15 23:44:05 +02:00
|
|
|
flags &= ~SYS_TASK_ARG; // don't propagate the SYS_TASK_ARG flag
|
2011-03-27 12:08:33 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
concat_depth += 1;
|
|
|
|
|
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": debug: Elaborate expr=" << *this
|
|
|
|
|
<< ", expr_wid=" << expr_wid << endl;
|
|
|
|
|
}
|
2008-03-25 23:17:47 +01:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
if (repeat_count_ == 0 && concat_depth < 2) {
|
|
|
|
|
cerr << get_fileline() << ": error: Concatenation repeat "
|
|
|
|
|
<< "may not be zero in this context." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
concat_depth -= 1;
|
|
|
|
|
return 0;
|
2000-01-01 07:18:00 +01:00
|
|
|
}
|
|
|
|
|
|
2002-06-14 23:38:41 +02:00
|
|
|
unsigned wid_sum = 0;
|
2010-03-22 06:00:44 +01:00
|
|
|
unsigned parm_cnt = 0;
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned parm_errors = 0;
|
2010-10-26 04:36:44 +02:00
|
|
|
svector<NetExpr*> parms(parms_.size());
|
2002-06-14 23:38:41 +02:00
|
|
|
|
2000-01-01 07:18:00 +01:00
|
|
|
/* Elaborate all the parameters and attach them to the concat node. */
|
2010-10-26 04:36:44 +02:00
|
|
|
for (unsigned idx = 0 ; idx < parms_.size() ; idx += 1) {
|
2004-01-21 05:57:40 +01:00
|
|
|
if (parms_[idx] == 0) {
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": error: Missing expression "
|
2004-01-21 05:57:40 +01:00
|
|
|
<< (idx+1) << " of concatenation list." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
continue;
|
|
|
|
|
}
|
|
|
|
|
|
2000-01-01 07:18:00 +01:00
|
|
|
assert(parms_[idx]);
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned wid = parms_[idx]->expr_width();
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr*ex = parms_[idx]->elaborate_expr(des, scope, wid, flags);
|
2000-01-01 07:18:00 +01:00
|
|
|
if (ex == 0) continue;
|
2000-09-26 07:05:58 +02:00
|
|
|
|
2000-01-01 07:18:00 +01:00
|
|
|
ex->set_line(*parms_[idx]);
|
2000-09-26 07:05:58 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
eval_expr(ex, -1);
|
|
|
|
|
|
2009-04-02 19:03:07 +02:00
|
|
|
if (ex->expr_type() == IVL_VT_REAL) {
|
2009-02-14 03:25:54 +01:00
|
|
|
cerr << ex->get_fileline() << ": error: "
|
2009-04-09 23:48:16 +02:00
|
|
|
<< "Concatenation operand can not be real: "
|
2009-04-02 19:03:07 +02:00
|
|
|
<< *parms_[idx] << endl;
|
2009-02-14 03:25:54 +01:00
|
|
|
des->errors += 1;
|
2011-02-26 23:59:52 +01:00
|
|
|
parm_errors += 1;
|
2009-04-02 19:03:07 +02:00
|
|
|
continue;
|
2009-02-14 03:25:54 +01:00
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
if (width_modes_[idx] != SIZED) {
|
2009-02-14 03:25:54 +01:00
|
|
|
cerr << ex->get_fileline() << ": error: "
|
2009-04-09 23:48:16 +02:00
|
|
|
<< "Concatenation operand \"" << *parms_[idx]
|
|
|
|
|
<< "\" has indefinite width." << endl;
|
2000-09-26 07:05:58 +02:00
|
|
|
des->errors += 1;
|
2011-02-26 23:59:52 +01:00
|
|
|
parm_errors += 1;
|
2009-04-02 19:03:07 +02:00
|
|
|
continue;
|
2000-09-26 07:05:58 +02:00
|
|
|
}
|
|
|
|
|
|
2010-03-22 06:00:44 +01:00
|
|
|
/* We are going to ignore zero width constants. */
|
|
|
|
|
if ((ex->expr_width() == 0) && dynamic_cast<NetEConst*>(ex)) {
|
|
|
|
|
parms[idx] = 0;
|
|
|
|
|
} else {
|
|
|
|
|
parms[idx] = ex;
|
|
|
|
|
parm_cnt += 1;
|
|
|
|
|
}
|
2002-06-14 23:38:41 +02:00
|
|
|
wid_sum += ex->expr_width();
|
2010-03-22 06:00:44 +01:00
|
|
|
}
|
2011-02-26 23:59:52 +01:00
|
|
|
if (parm_errors) {
|
|
|
|
|
concat_depth -= 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
2010-03-22 06:00:44 +01:00
|
|
|
|
|
|
|
|
/* Make the empty concat expression. */
|
2012-06-25 00:33:40 +02:00
|
|
|
NetEConcat*concat = new NetEConcat(parm_cnt, repeat_count_, expr_type_);
|
2011-02-26 23:59:52 +01:00
|
|
|
concat->set_line(*this);
|
2010-03-22 06:00:44 +01:00
|
|
|
|
|
|
|
|
/* Remove any zero width constants. */
|
|
|
|
|
unsigned off = 0;
|
|
|
|
|
for (unsigned idx = 0 ; idx < parm_cnt ; idx += 1) {
|
|
|
|
|
while (parms[off+idx] == 0) off += 1;
|
2011-02-26 23:59:52 +01:00
|
|
|
concat->set(idx, parms[off+idx]);
|
2000-01-01 07:18:00 +01:00
|
|
|
}
|
|
|
|
|
|
2008-05-01 03:48:36 +02:00
|
|
|
if (wid_sum == 0 && concat_depth < 2) {
|
|
|
|
|
cerr << get_fileline() << ": error: Concatenation may not "
|
|
|
|
|
<< "have zero width in this context." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
concat_depth -= 1;
|
2011-02-26 23:59:52 +01:00
|
|
|
delete concat;
|
2008-05-01 03:48:36 +02:00
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
NetExpr*tmp = pad_to_width(concat, expr_wid, *this);
|
|
|
|
|
tmp->cast_signed(signed_flag_);
|
|
|
|
|
|
2008-05-01 03:48:36 +02:00
|
|
|
concat_depth -= 1;
|
2000-01-01 07:18:00 +01:00
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2008-09-26 05:37:18 +02:00
|
|
|
/*
|
|
|
|
|
* Floating point literals are not vectorable. It's not particularly
|
|
|
|
|
* clear what to do about an actual width to return, but whatever the
|
|
|
|
|
* width, it is unsigned.
|
|
|
|
|
*
|
|
|
|
|
* Absent any better idea, we call all real valued results a width of 1.
|
|
|
|
|
*/
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned PEFNumber::test_width(Design*, NetScope*, width_mode_t&)
|
2008-09-26 05:37:18 +02:00
|
|
|
{
|
2011-02-26 23:59:52 +01:00
|
|
|
expr_type_ = IVL_VT_REAL;
|
|
|
|
|
expr_width_ = 1;
|
|
|
|
|
min_width_ = 1;
|
|
|
|
|
signed_flag_ = true;
|
2008-10-11 05:42:07 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
return expr_width_;
|
2008-09-26 05:37:18 +02:00
|
|
|
}
|
|
|
|
|
|
2013-11-24 23:35:05 +01:00
|
|
|
NetExpr* PEFNumber::elaborate_expr(Design*, NetScope*, ivl_type_t, unsigned) const
|
2013-10-19 03:32:56 +02:00
|
|
|
{
|
|
|
|
|
NetECReal*tmp = new NetECReal(*value_);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr* PEFNumber::elaborate_expr(Design*, NetScope*, unsigned, unsigned) const
|
2001-01-15 00:04:55 +01:00
|
|
|
{
|
2003-01-26 22:15:58 +01:00
|
|
|
NetECReal*tmp = new NetECReal(*value_);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
return tmp;
|
2001-01-15 00:04:55 +01:00
|
|
|
}
|
|
|
|
|
|
2012-02-11 02:17:59 +01:00
|
|
|
bool PEIdent::calculate_packed_indices_(Design*des, NetScope*scope, NetNet*net,
|
|
|
|
|
list<long>&prefix_indices) const
|
|
|
|
|
{
|
2015-04-25 23:57:14 +02:00
|
|
|
unsigned dimensions = net->unpacked_dimensions() + net->packed_dimensions();
|
|
|
|
|
switch (net->data_type()) {
|
|
|
|
|
case IVL_VT_STRING:
|
|
|
|
|
case IVL_VT_DARRAY:
|
|
|
|
|
case IVL_VT_QUEUE:
|
|
|
|
|
dimensions += 1;
|
|
|
|
|
default:
|
|
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
if (path_.back().index.size() > dimensions) {
|
|
|
|
|
cerr << get_fileline() << ": error: the number of indices ("
|
|
|
|
|
<< path_.back().index.size()
|
|
|
|
|
<< ") is greater than the number of dimensions ("
|
|
|
|
|
<< dimensions
|
|
|
|
|
<< ")." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return false;
|
|
|
|
|
}
|
|
|
|
|
|
2012-02-11 02:17:59 +01:00
|
|
|
list<index_component_t> index;
|
|
|
|
|
index = path_.back().index;
|
2014-03-17 01:08:38 +01:00
|
|
|
ivl_assert(*this, index.size() >= net->unpacked_dimensions());
|
2012-05-26 00:58:29 +02:00
|
|
|
for (size_t idx = 0 ; idx < net->unpacked_dimensions() ; idx += 1)
|
2012-02-11 02:17:59 +01:00
|
|
|
index.pop_front();
|
|
|
|
|
|
|
|
|
|
return evaluate_index_prefix(des, scope, prefix_indices, index);
|
|
|
|
|
}
|
|
|
|
|
|
2012-04-30 20:48:33 +02:00
|
|
|
|
|
|
|
|
bool PEIdent::calculate_bits_(Design*des, NetScope*scope,
|
|
|
|
|
long&msb, bool&defined) const
|
|
|
|
|
{
|
|
|
|
|
defined = true;
|
|
|
|
|
const name_component_t&name_tail = path_.back();
|
|
|
|
|
ivl_assert(*this, !name_tail.index.empty());
|
|
|
|
|
|
|
|
|
|
const index_component_t&index_tail = name_tail.index.back();
|
|
|
|
|
ivl_assert(*this, index_tail.sel == index_component_t::SEL_BIT);
|
|
|
|
|
ivl_assert(*this, index_tail.msb && !index_tail.lsb);
|
|
|
|
|
|
|
|
|
|
/* This handles bit selects. In this case, there in one
|
|
|
|
|
bit select expressions which must be constant. */
|
|
|
|
|
|
|
|
|
|
NetExpr*msb_ex = elab_and_eval(des, scope, index_tail.msb, -1, true);
|
|
|
|
|
NetEConst*msb_c = dynamic_cast<NetEConst*>(msb_ex);
|
|
|
|
|
if (msb_c == 0) {
|
|
|
|
|
cerr << index_tail.msb->get_fileline() << ": error: "
|
2015-06-05 18:11:10 +02:00
|
|
|
"Bit select expressions must be constant."
|
2012-04-30 20:48:33 +02:00
|
|
|
<< endl;
|
|
|
|
|
cerr << index_tail.msb->get_fileline() << ": : "
|
|
|
|
|
"This msb expression violates the rule: "
|
|
|
|
|
<< *index_tail.msb << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
/* Attempt to recover from error. */
|
|
|
|
|
msb = 0;
|
|
|
|
|
} else {
|
|
|
|
|
if (! msb_c->value().is_defined())
|
|
|
|
|
defined = false;
|
|
|
|
|
msb = msb_c->value().as_long();
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
delete msb_ex;
|
|
|
|
|
return true;
|
|
|
|
|
}
|
|
|
|
|
|
2006-11-04 07:19:24 +01:00
|
|
|
/*
|
|
|
|
|
* Given that the msb_ and lsb_ are part select expressions, this
|
|
|
|
|
* function calculates their values. Note that this method does *not*
|
|
|
|
|
* convert the values to canonical form.
|
|
|
|
|
*/
|
|
|
|
|
bool PEIdent::calculate_parts_(Design*des, NetScope*scope,
|
2009-01-02 01:20:41 +01:00
|
|
|
long&msb, long&lsb, bool&defined) const
|
2006-11-04 07:19:24 +01:00
|
|
|
{
|
2009-01-02 01:20:41 +01:00
|
|
|
defined = true;
|
2007-05-24 06:07:11 +02:00
|
|
|
const name_component_t&name_tail = path_.back();
|
|
|
|
|
ivl_assert(*this, !name_tail.index.empty());
|
|
|
|
|
|
|
|
|
|
const index_component_t&index_tail = name_tail.index.back();
|
|
|
|
|
ivl_assert(*this, index_tail.sel == index_component_t::SEL_PART);
|
|
|
|
|
ivl_assert(*this, index_tail.msb && index_tail.lsb);
|
2006-11-04 07:19:24 +01:00
|
|
|
|
|
|
|
|
/* This handles part selects. In this case, there are
|
|
|
|
|
two bit select expressions, and both must be
|
|
|
|
|
constant. Evaluate them and pass the results back to
|
|
|
|
|
the caller. */
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr*lsb_ex = elab_and_eval(des, scope, index_tail.lsb, -1, true);
|
2006-11-04 07:19:24 +01:00
|
|
|
NetEConst*lsb_c = dynamic_cast<NetEConst*>(lsb_ex);
|
|
|
|
|
if (lsb_c == 0) {
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << index_tail.lsb->get_fileline() << ": error: "
|
2006-11-04 07:19:24 +01:00
|
|
|
"Part select expressions must be constant."
|
|
|
|
|
<< endl;
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << index_tail.lsb->get_fileline() << ": : "
|
2007-05-24 06:07:11 +02:00
|
|
|
"This lsb expression violates the rule: "
|
|
|
|
|
<< *index_tail.lsb << endl;
|
2006-11-04 07:19:24 +01:00
|
|
|
des->errors += 1;
|
2008-10-04 16:30:34 +02:00
|
|
|
/* Attempt to recover from error. */
|
|
|
|
|
lsb = 0;
|
|
|
|
|
} else {
|
2009-01-02 01:20:41 +01:00
|
|
|
if (! lsb_c->value().is_defined())
|
|
|
|
|
defined = false;
|
2008-10-04 16:30:34 +02:00
|
|
|
lsb = lsb_c->value().as_long();
|
2006-11-04 07:19:24 +01:00
|
|
|
}
|
2006-10-30 06:44:49 +01:00
|
|
|
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr*msb_ex = elab_and_eval(des, scope, index_tail.msb, -1, true);
|
2006-11-04 07:19:24 +01:00
|
|
|
NetEConst*msb_c = dynamic_cast<NetEConst*>(msb_ex);
|
|
|
|
|
if (msb_c == 0) {
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << index_tail.msb->get_fileline() << ": error: "
|
2006-11-04 07:19:24 +01:00
|
|
|
"Part select expressions must be constant."
|
|
|
|
|
<< endl;
|
2008-10-04 16:30:34 +02:00
|
|
|
cerr << index_tail.msb->get_fileline() << ": : "
|
|
|
|
|
"This msb expression violates the rule: "
|
|
|
|
|
<< *index_tail.msb << endl;
|
2006-11-04 07:19:24 +01:00
|
|
|
des->errors += 1;
|
2008-10-04 16:30:34 +02:00
|
|
|
/* Attempt to recover from error. */
|
|
|
|
|
msb = lsb;
|
|
|
|
|
} else {
|
2009-01-02 01:20:41 +01:00
|
|
|
if (! msb_c->value().is_defined())
|
|
|
|
|
defined = false;
|
2008-10-04 16:30:34 +02:00
|
|
|
msb = msb_c->value().as_long();
|
2006-11-04 07:19:24 +01:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
delete msb_ex;
|
|
|
|
|
delete lsb_ex;
|
|
|
|
|
return true;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
bool PEIdent::calculate_up_do_width_(Design*des, NetScope*scope,
|
|
|
|
|
unsigned long&wid) const
|
2006-10-30 06:44:49 +01:00
|
|
|
{
|
2007-05-24 06:07:11 +02:00
|
|
|
const name_component_t&name_tail = path_.back();
|
|
|
|
|
ivl_assert(*this, !name_tail.index.empty());
|
|
|
|
|
|
|
|
|
|
const index_component_t&index_tail = name_tail.index.back();
|
|
|
|
|
ivl_assert(*this, index_tail.lsb && index_tail.msb);
|
|
|
|
|
|
2006-11-04 07:19:24 +01:00
|
|
|
bool flag = true;
|
|
|
|
|
|
|
|
|
|
/* Calculate the width expression (in the lsb_ position)
|
|
|
|
|
first. If the expression is not constant, error but guess 1
|
|
|
|
|
so we can keep going and find more errors. */
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr*wid_ex = elab_and_eval(des, scope, index_tail.lsb, -1, true);
|
2006-11-04 07:19:24 +01:00
|
|
|
NetEConst*wid_c = dynamic_cast<NetEConst*>(wid_ex);
|
|
|
|
|
|
2012-07-26 19:08:18 +02:00
|
|
|
wid = wid_c? wid_c->value().as_ulong() : 0;
|
|
|
|
|
if (wid == 0) {
|
|
|
|
|
cerr << index_tail.lsb->get_fileline() << ": error: "
|
|
|
|
|
"Indexed part widths must be constant and greater than zero."
|
|
|
|
|
<< endl;
|
|
|
|
|
cerr << index_tail.lsb->get_fileline() << ": : "
|
|
|
|
|
"This part width expression violates the rule: "
|
|
|
|
|
<< *index_tail.lsb << endl;
|
2006-11-04 07:19:24 +01:00
|
|
|
des->errors += 1;
|
|
|
|
|
flag = false;
|
2012-07-26 19:08:18 +02:00
|
|
|
wid = 1;
|
2006-11-04 07:19:24 +01:00
|
|
|
}
|
|
|
|
|
delete wid_ex;
|
|
|
|
|
|
|
|
|
|
return flag;
|
|
|
|
|
}
|
|
|
|
|
|
2008-06-09 04:29:00 +02:00
|
|
|
/*
|
|
|
|
|
* When we know that this is an indexed part select (up or down) this
|
|
|
|
|
* method calculates the up/down base, as far at it can be calculated.
|
|
|
|
|
*/
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr* PEIdent::calculate_up_do_base_(Design*des, NetScope*scope,
|
|
|
|
|
bool need_const) const
|
2008-06-09 04:29:00 +02:00
|
|
|
{
|
|
|
|
|
const name_component_t&name_tail = path_.back();
|
|
|
|
|
ivl_assert(*this, !name_tail.index.empty());
|
|
|
|
|
|
|
|
|
|
const index_component_t&index_tail = name_tail.index.back();
|
|
|
|
|
ivl_assert(*this, index_tail.lsb != 0);
|
|
|
|
|
ivl_assert(*this, index_tail.msb != 0);
|
|
|
|
|
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr*tmp = elab_and_eval(des, scope, index_tail.msb, -1, need_const);
|
2008-06-09 04:29:00 +02:00
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2010-11-01 22:37:06 +01:00
|
|
|
bool PEIdent::calculate_param_range_(Design*, NetScope*,
|
2008-06-08 16:34:45 +02:00
|
|
|
const NetExpr*par_msb, long&par_msv,
|
2010-01-10 04:57:01 +01:00
|
|
|
const NetExpr*par_lsb, long&par_lsv,
|
|
|
|
|
long length) const
|
2008-06-08 16:34:45 +02:00
|
|
|
{
|
|
|
|
|
if (par_msb == 0) {
|
|
|
|
|
// If the parameter doesn't have an explicit range, then
|
2010-01-10 04:57:01 +01:00
|
|
|
// just return range values of [length-1:0].
|
2008-06-08 16:34:45 +02:00
|
|
|
ivl_assert(*this, par_lsb == 0);
|
2010-01-10 04:57:01 +01:00
|
|
|
par_msv = length-1;
|
2008-06-08 16:34:45 +02:00
|
|
|
par_lsv = 0;
|
|
|
|
|
return true;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
const NetEConst*tmp = dynamic_cast<const NetEConst*> (par_msb);
|
|
|
|
|
ivl_assert(*this, tmp);
|
|
|
|
|
|
|
|
|
|
par_msv = tmp->value().as_long();
|
|
|
|
|
|
|
|
|
|
tmp = dynamic_cast<const NetEConst*> (par_lsb);
|
|
|
|
|
ivl_assert(*this, tmp);
|
|
|
|
|
|
|
|
|
|
par_lsv = tmp->value().as_long();
|
|
|
|
|
|
|
|
|
|
return true;
|
|
|
|
|
}
|
|
|
|
|
|
2014-08-26 03:23:41 +02:00
|
|
|
unsigned PEIdent::test_width_method_(Design*des, NetScope*scope, width_mode_t&)
|
2014-08-09 16:45:48 +02:00
|
|
|
{
|
|
|
|
|
if (!gn_system_verilog())
|
|
|
|
|
return 0;
|
|
|
|
|
if (path_.size() < 2)
|
|
|
|
|
return 0;
|
|
|
|
|
|
|
|
|
|
pform_name_t use_path = path_;
|
|
|
|
|
perm_string member_name = peek_tail_name(path_);
|
|
|
|
|
use_path.pop_back();
|
|
|
|
|
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PEIdent::test_width_method_: "
|
|
|
|
|
<< "Try to find method=" << member_name
|
|
|
|
|
<< " of signal " << use_path << endl;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
NetNet*net = 0;
|
|
|
|
|
const NetExpr*par = 0;
|
|
|
|
|
NetEvent*eve = 0;
|
|
|
|
|
const NetExpr*ex1 = 0, *ex2 = 0;
|
|
|
|
|
symbol_search(this, des, scope, use_path, net, par, eve, ex1, ex2);
|
|
|
|
|
if (net == 0) {
|
|
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": PEIdent::test_width_method_: "
|
|
|
|
|
<< "Only nets can have methods, so give up here." << endl;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2014-08-10 05:43:53 +02:00
|
|
|
if (/*const netdarray_t*dtype =*/ net->darray_type()) {
|
2014-08-09 16:45:48 +02:00
|
|
|
if (member_name == "size") {
|
|
|
|
|
expr_type_ = IVL_VT_BOOL;
|
|
|
|
|
expr_width_ = 32;
|
|
|
|
|
min_width_ = 32;
|
|
|
|
|
signed_flag_= true;
|
|
|
|
|
return 32;
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned PEIdent::test_width(Design*des, NetScope*scope, width_mode_t&mode)
|
2006-11-04 07:19:24 +01:00
|
|
|
{
|
|
|
|
|
NetNet* net = 0;
|
|
|
|
|
const NetExpr*par = 0;
|
|
|
|
|
NetEvent* eve = 0;
|
|
|
|
|
|
|
|
|
|
const NetExpr*ex1, *ex2;
|
|
|
|
|
|
2013-02-17 23:42:07 +01:00
|
|
|
NetScope*use_scope = scope;
|
|
|
|
|
if (package_) {
|
|
|
|
|
use_scope = des->find_package(package_->pscope_name());
|
|
|
|
|
ivl_assert(*this, use_scope);
|
|
|
|
|
}
|
|
|
|
|
|
2014-08-09 16:45:48 +02:00
|
|
|
if (unsigned tmp = test_width_method_(des, scope, mode)) {
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2013-02-17 23:42:07 +01:00
|
|
|
NetScope*found_in = symbol_search(this, des, use_scope, path_,
|
|
|
|
|
net, par, eve,
|
2012-04-03 04:53:04 +02:00
|
|
|
ex1, ex2);
|
2006-11-04 07:19:24 +01:00
|
|
|
|
2008-08-27 06:33:24 +02:00
|
|
|
// If there is a part/bit select expression, then process it
|
|
|
|
|
// here. This constrains the results no matter what kind the
|
|
|
|
|
// name is.
|
|
|
|
|
|
|
|
|
|
const name_component_t&name_tail = path_.back();
|
|
|
|
|
index_component_t::ctype_t use_sel = index_component_t::SEL_NONE;
|
2008-10-11 05:42:07 +02:00
|
|
|
if (!name_tail.index.empty()) {
|
|
|
|
|
const index_component_t&index_tail = name_tail.index.back();
|
2009-04-28 03:13:19 +02:00
|
|
|
// Skip full array word net selects.
|
2012-05-26 00:58:29 +02:00
|
|
|
if (!net || (name_tail.index.size() > net->unpacked_dimensions())) {
|
2009-04-28 03:13:19 +02:00
|
|
|
use_sel = index_tail.sel;
|
|
|
|
|
}
|
2008-10-11 05:42:07 +02:00
|
|
|
}
|
2008-08-27 06:33:24 +02:00
|
|
|
|
|
|
|
|
unsigned use_width = UINT_MAX;
|
|
|
|
|
switch (use_sel) {
|
|
|
|
|
case index_component_t::SEL_NONE:
|
|
|
|
|
break;
|
|
|
|
|
case index_component_t::SEL_PART:
|
|
|
|
|
{ long msb, lsb;
|
2009-01-02 01:20:41 +01:00
|
|
|
bool parts_defined;
|
|
|
|
|
calculate_parts_(des, scope, msb, lsb, parts_defined);
|
|
|
|
|
if (parts_defined)
|
|
|
|
|
use_width = 1 + ((msb>lsb)? (msb-lsb) : (lsb-msb));
|
|
|
|
|
else
|
|
|
|
|
use_width = UINT_MAX;
|
2008-08-27 06:33:24 +02:00
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
case index_component_t::SEL_IDX_UP:
|
|
|
|
|
case index_component_t::SEL_IDX_DO:
|
|
|
|
|
{ unsigned long tmp = 0;
|
|
|
|
|
calculate_up_do_width_(des, scope, tmp);
|
|
|
|
|
use_width = tmp;
|
|
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
case index_component_t::SEL_BIT:
|
2008-11-27 00:37:38 +01:00
|
|
|
{ ivl_assert(*this, !name_tail.index.empty());
|
|
|
|
|
const index_component_t&index_tail = name_tail.index.back();
|
|
|
|
|
ivl_assert(*this, index_tail.msb);
|
|
|
|
|
}
|
2014-06-12 18:09:49 +02:00
|
|
|
// If we have a net in hand, then we can predict what the
|
|
|
|
|
// slice width will be. If not, then assume it will be a
|
|
|
|
|
// simple bit select. If the net only has a single dimension
|
|
|
|
|
// then this is still a simple bit select.
|
|
|
|
|
if ((net == 0) || (net->packed_dimensions() <= 1))
|
2014-04-06 05:57:22 +02:00
|
|
|
use_width = 1;
|
2008-11-27 00:37:38 +01:00
|
|
|
break;
|
2014-07-30 05:41:03 +02:00
|
|
|
case index_component_t::SEL_BIT_LAST:
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PEIdent::test_width: "
|
|
|
|
|
<< "Queue/Darray last index ($)" << endl;
|
|
|
|
|
}
|
|
|
|
|
break;
|
2008-08-27 06:33:24 +02:00
|
|
|
default:
|
|
|
|
|
ivl_assert(*this, 0);
|
|
|
|
|
}
|
|
|
|
|
|
2013-04-15 03:03:21 +02:00
|
|
|
if (const netdarray_t*darray = net? net->darray_type() : 0) {
|
2014-07-30 05:41:03 +02:00
|
|
|
switch (use_sel) {
|
|
|
|
|
case index_component_t::SEL_BIT:
|
|
|
|
|
case index_component_t::SEL_BIT_LAST:
|
2012-09-23 18:28:49 +02:00
|
|
|
expr_type_ = darray->element_base_type();
|
2012-09-30 19:34:09 +02:00
|
|
|
expr_width_ = darray->element_width();
|
2012-07-21 17:59:29 +02:00
|
|
|
min_width_ = expr_width_;
|
|
|
|
|
signed_flag_ = net->get_signed();
|
2014-07-30 05:41:03 +02:00
|
|
|
break;
|
|
|
|
|
default:
|
2012-07-21 17:59:29 +02:00
|
|
|
expr_type_ = net->data_type();
|
|
|
|
|
expr_width_ = net->vector_width();
|
|
|
|
|
min_width_ = expr_width_;
|
|
|
|
|
signed_flag_ = net->get_signed();
|
2014-07-30 05:41:03 +02:00
|
|
|
break;
|
2012-07-21 17:59:29 +02:00
|
|
|
}
|
|
|
|
|
return expr_width_;
|
|
|
|
|
}
|
|
|
|
|
|
2008-10-19 07:00:22 +02:00
|
|
|
if (use_width != UINT_MAX) {
|
2011-02-26 23:59:52 +01:00
|
|
|
expr_type_ = IVL_VT_LOGIC; // Assume bit/parts selects are logic
|
|
|
|
|
expr_width_ = use_width;
|
|
|
|
|
min_width_ = use_width;
|
|
|
|
|
signed_flag_ = false;
|
|
|
|
|
|
2008-10-19 07:00:22 +02:00
|
|
|
return expr_width_;
|
|
|
|
|
}
|
2008-08-27 06:33:24 +02:00
|
|
|
|
|
|
|
|
// The width of a signal expression is the width of the signal.
|
2008-09-27 07:20:11 +02:00
|
|
|
if (net != 0) {
|
2014-04-06 05:57:22 +02:00
|
|
|
size_t use_depth = name_tail.index.size();
|
|
|
|
|
// Account for unpacked dimensions by assuming that the
|
|
|
|
|
// unpacked dimensions are consumed first, so subtract
|
|
|
|
|
// the unpacked dimensions from the dimension depth
|
|
|
|
|
// useable for making the slice.
|
|
|
|
|
if (use_depth >= net->unpacked_dimensions()) {
|
|
|
|
|
use_depth -= net->unpacked_dimensions();
|
|
|
|
|
|
|
|
|
|
} else {
|
|
|
|
|
// In this case, we have a slice of an unpacked
|
|
|
|
|
// array. This likely handled as an array instead
|
|
|
|
|
// of a slice. Hmm...
|
|
|
|
|
use_depth = 0;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
expr_type_ = net->data_type();
|
2014-04-06 05:57:22 +02:00
|
|
|
expr_width_ = net->slice_width(use_depth);
|
2011-02-26 23:59:52 +01:00
|
|
|
min_width_ = expr_width_;
|
2012-07-21 17:59:29 +02:00
|
|
|
signed_flag_ = net->get_signed();
|
2012-09-15 19:27:43 +02:00
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PEIdent::test_width: "
|
2012-11-12 02:42:31 +01:00
|
|
|
<< net->name() << " is a net, "
|
|
|
|
|
<< "type=" << expr_type_
|
2014-04-06 05:57:22 +02:00
|
|
|
<< ", width=" << expr_width_
|
|
|
|
|
<< ", signed_=" << (signed_flag_?"true":"false")
|
|
|
|
|
<< ", use_depth=" << use_depth
|
|
|
|
|
<< ", packed_dimensions=" << net->packed_dimensions()
|
|
|
|
|
<< ", unpacked_dimensions=" << net->unpacked_dimensions()
|
|
|
|
|
<< endl;
|
2012-09-15 19:27:43 +02:00
|
|
|
}
|
2008-10-11 05:42:07 +02:00
|
|
|
return expr_width_;
|
2008-09-27 07:20:11 +02:00
|
|
|
}
|
2008-08-27 06:33:24 +02:00
|
|
|
|
2010-11-07 18:58:00 +01:00
|
|
|
// The width of an enumeration literal is the width of the
|
|
|
|
|
// enumeration base.
|
|
|
|
|
if (const NetEConstEnum*par_enum = dynamic_cast<const NetEConstEnum*> (par)) {
|
2013-04-15 03:03:21 +02:00
|
|
|
const netenum_t*use_enum = par_enum->enumeration();
|
2010-11-07 18:58:00 +01:00
|
|
|
ivl_assert(*this, use_enum != 0);
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
expr_type_ = use_enum->base_type();
|
2012-08-20 02:27:48 +02:00
|
|
|
expr_width_ = use_enum->packed_width();
|
2011-09-01 23:29:40 +02:00
|
|
|
min_width_ = expr_width_;
|
|
|
|
|
signed_flag_ = par_enum->has_sign();
|
2011-02-26 23:59:52 +01:00
|
|
|
|
2010-11-07 18:58:00 +01:00
|
|
|
return expr_width_;
|
|
|
|
|
}
|
|
|
|
|
|
2010-12-05 22:28:17 +01:00
|
|
|
// The width of a parameter is the width of the parameter value
|
|
|
|
|
// (as evaluated earlier).
|
2008-08-27 06:33:24 +02:00
|
|
|
if (par != 0) {
|
2011-02-26 23:59:52 +01:00
|
|
|
expr_type_ = par->expr_type();
|
|
|
|
|
expr_width_ = par->expr_width();
|
|
|
|
|
min_width_ = expr_width_;
|
|
|
|
|
signed_flag_ = par->has_sign();
|
|
|
|
|
|
2013-10-28 23:07:09 +01:00
|
|
|
if (!par->has_width() && (mode < LOSSLESS))
|
|
|
|
|
mode = LOSSLESS;
|
2011-02-26 23:59:52 +01:00
|
|
|
|
2008-10-11 05:42:07 +02:00
|
|
|
return expr_width_;
|
2006-11-04 07:19:24 +01:00
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
if (path_.size() == 1
|
|
|
|
|
&& scope->genvar_tmp.str()
|
|
|
|
|
&& strcmp(peek_tail_name(path_), scope->genvar_tmp) == 0) {
|
|
|
|
|
verinum val (scope->genvar_tmp_val);
|
|
|
|
|
expr_type_ = IVL_VT_BOOL;
|
|
|
|
|
expr_width_ = val.len();
|
|
|
|
|
min_width_ = expr_width_;
|
|
|
|
|
signed_flag_ = true;
|
|
|
|
|
|
2013-10-28 23:07:09 +01:00
|
|
|
if (gn_strict_expr_width_flag) {
|
|
|
|
|
expr_width_ = integer_width;
|
|
|
|
|
mode = UNSIZED;
|
|
|
|
|
} else if (mode < LOSSLESS) {
|
|
|
|
|
mode = LOSSLESS;
|
|
|
|
|
}
|
2011-02-26 23:59:52 +01:00
|
|
|
|
|
|
|
|
return expr_width_;
|
|
|
|
|
}
|
2008-10-19 07:00:22 +02:00
|
|
|
|
2012-04-03 04:53:04 +02:00
|
|
|
// If this is SystemVerilog then maybe this is a structure element.
|
|
|
|
|
if (gn_system_verilog() && found_in==0 && path_.size() >= 2) {
|
|
|
|
|
pform_name_t use_path = path_;
|
|
|
|
|
perm_string method_name = peek_tail_name(use_path);
|
|
|
|
|
use_path.pop_back();
|
|
|
|
|
|
2012-11-13 03:13:41 +01:00
|
|
|
ivl_assert(*this, net == 0);
|
|
|
|
|
symbol_search(this, des, scope, use_path, net, par, eve, ex1, ex2);
|
2012-04-03 04:53:04 +02:00
|
|
|
|
|
|
|
|
// Check to see if we have a net and if so is it a structure?
|
|
|
|
|
if (net != 0) {
|
|
|
|
|
// If this net is a struct, the method name may be
|
2012-08-20 02:27:48 +02:00
|
|
|
// a struct member. If it is, then we know the
|
|
|
|
|
// width of this identifier my knowing the width
|
|
|
|
|
// of the member. We don't even need to know
|
|
|
|
|
// anything about positions in containing arrays.
|
2012-04-03 04:53:04 +02:00
|
|
|
if (net->struct_type() != 0) {
|
2012-08-20 02:27:48 +02:00
|
|
|
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": debug: PEIdent::test_width: "
|
|
|
|
|
<< "Net " << use_path << " is a struct, "
|
|
|
|
|
<< "checking width of member " << method_name << endl;
|
|
|
|
|
}
|
2012-04-03 04:53:04 +02:00
|
|
|
|
|
|
|
|
const netstruct_t::member_t*mem;
|
|
|
|
|
unsigned long unused;
|
|
|
|
|
mem = get_struct_member(this, des, scope, net,
|
|
|
|
|
method_name, unused);
|
|
|
|
|
if (mem) {
|
|
|
|
|
expr_type_ = mem->data_type();
|
2013-11-29 21:43:34 +01:00
|
|
|
expr_width_ = mem->net_type->packed_width();
|
2012-04-03 04:53:04 +02:00
|
|
|
min_width_ = expr_width_;
|
|
|
|
|
signed_flag_ = mem->get_signed();
|
|
|
|
|
return expr_width_;
|
|
|
|
|
}
|
|
|
|
|
}
|
2012-11-25 19:13:05 +01:00
|
|
|
|
2013-04-15 03:03:21 +02:00
|
|
|
if (const netclass_t*class_type = net->class_type()) {
|
2013-06-26 15:16:24 +02:00
|
|
|
int pidx = class_type->property_idx_from_name(method_name);
|
|
|
|
|
if (pidx >= 0) {
|
|
|
|
|
ivl_type_t ptype = class_type->get_prop_type(pidx);
|
2012-11-25 19:13:05 +01:00
|
|
|
expr_type_ = ptype->base_type();
|
|
|
|
|
expr_width_ = ptype->packed_width();
|
|
|
|
|
min_width_ = expr_width_;
|
|
|
|
|
signed_flag_ = ptype->get_signed();
|
|
|
|
|
return expr_width_;
|
|
|
|
|
}
|
|
|
|
|
}
|
2012-04-03 04:53:04 +02:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
// Not a net, and not a parameter? Give up on the type, but
|
|
|
|
|
// set the width to 0.
|
|
|
|
|
expr_type_ = IVL_VT_NO_TYPE;
|
|
|
|
|
expr_width_ = 0;
|
|
|
|
|
min_width_ = 0;
|
|
|
|
|
signed_flag_ = false;
|
2010-11-01 22:37:06 +01:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
return expr_width_;
|
2006-10-30 06:44:49 +01:00
|
|
|
}
|
|
|
|
|
|
2012-11-22 20:08:13 +01:00
|
|
|
|
|
|
|
|
NetExpr* PEIdent::elaborate_expr(Design*des, NetScope*scope,
|
2014-08-30 05:31:51 +02:00
|
|
|
ivl_type_t ntype, unsigned flags) const
|
2012-11-22 20:08:13 +01:00
|
|
|
{
|
2014-08-30 05:31:51 +02:00
|
|
|
bool need_const = NEED_CONST & flags;
|
|
|
|
|
|
2012-11-22 20:08:13 +01:00
|
|
|
NetNet* net = 0;
|
|
|
|
|
const NetExpr*par = 0;
|
|
|
|
|
NetEvent* eve = 0;
|
|
|
|
|
const NetExpr*ex1, *ex2;
|
|
|
|
|
|
2013-02-17 23:42:07 +01:00
|
|
|
NetScope*use_scope = scope;
|
|
|
|
|
if (package_) {
|
|
|
|
|
use_scope = des->find_package(package_->pscope_name());
|
|
|
|
|
ivl_assert(*this, use_scope);
|
|
|
|
|
}
|
|
|
|
|
|
2014-09-07 01:26:08 +02:00
|
|
|
if (NetExpr* tmp = elaborate_expr_class_member_(des, scope, 0, flags)) {
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2013-02-17 23:42:07 +01:00
|
|
|
/* NetScope*found_in = */ symbol_search(this, des, use_scope, path_,
|
2013-01-05 20:40:12 +01:00
|
|
|
net, par, eve,
|
|
|
|
|
ex1, ex2);
|
2012-11-22 20:08:13 +01:00
|
|
|
|
2013-01-27 21:30:38 +01:00
|
|
|
if (net == 0 && gn_system_verilog() && path_.size() >= 2) {
|
|
|
|
|
pform_name_t use_path = path_;
|
|
|
|
|
name_component_t member_comp = use_path.back();
|
|
|
|
|
use_path.pop_back();
|
|
|
|
|
|
|
|
|
|
ivl_assert(*this, net == 0);
|
2013-04-08 02:10:15 +02:00
|
|
|
symbol_search(this, des, use_scope, use_path, net, par, eve, ex1, ex2);
|
2013-01-27 21:30:38 +01:00
|
|
|
|
|
|
|
|
if (net == 0) {
|
|
|
|
|
// Nope, no struct/class with member.
|
|
|
|
|
|
|
|
|
|
} else if (net->struct_type() != 0) {
|
2013-04-08 02:10:15 +02:00
|
|
|
return check_for_struct_members(this, des, use_scope,
|
2013-01-27 21:30:38 +01:00
|
|
|
net, use_path.back().index,
|
|
|
|
|
member_comp);
|
|
|
|
|
|
|
|
|
|
} else if (net->class_type()!=0) {
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PEIdent::elaborate_expr: "
|
|
|
|
|
<< "Ident " << use_path
|
|
|
|
|
<< " look for property " << member_comp << endl;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
return check_for_class_property(this, des, scope,
|
|
|
|
|
net, member_comp);
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2012-11-22 20:08:13 +01:00
|
|
|
if (net == 0) {
|
|
|
|
|
cerr << get_fileline() << ": internal error: "
|
2013-01-27 21:30:38 +01:00
|
|
|
<< "Expecting idents with ntype to be signals." << endl;
|
2012-11-22 20:08:13 +01:00
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2013-09-29 23:48:42 +02:00
|
|
|
if (! ntype->type_compatible(net->net_type())) {
|
2012-11-22 20:08:13 +01:00
|
|
|
cerr << get_fileline() << ": internal_error: "
|
|
|
|
|
<< "net type doesn't match context type." << endl;
|
|
|
|
|
|
|
|
|
|
cerr << get_fileline() << ": : "
|
|
|
|
|
<< "net type=";
|
|
|
|
|
if (net->net_type())
|
|
|
|
|
net->net_type()->debug_dump(cerr);
|
|
|
|
|
else
|
|
|
|
|
cerr << "<nil>";
|
|
|
|
|
cerr << endl;
|
|
|
|
|
|
|
|
|
|
cerr << get_fileline() << ": : "
|
|
|
|
|
<< "context type=";
|
|
|
|
|
ivl_assert(*this, ntype);
|
|
|
|
|
ntype->debug_dump(cerr);
|
|
|
|
|
cerr << endl;
|
|
|
|
|
}
|
2013-09-29 23:48:42 +02:00
|
|
|
ivl_assert(*this, ntype->type_compatible(net->net_type()));
|
2012-11-22 20:08:13 +01:00
|
|
|
|
2014-08-30 05:31:51 +02:00
|
|
|
const name_component_t&use_comp = path_.back();
|
|
|
|
|
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PEIdent::elaborate_expr: "
|
|
|
|
|
<< "Typed ident " << net->name()
|
|
|
|
|
<< " with " << use_comp.index.size() << " indices"
|
|
|
|
|
<< " and " << net->unpacked_dimensions() << " expected."
|
|
|
|
|
<< endl;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (net->unpacked_dimensions() != use_comp.index.size()) {
|
|
|
|
|
cerr << get_fileline() << ": sorry: "
|
|
|
|
|
<< "Net " << net->name()
|
|
|
|
|
<< " expects " << net->unpacked_dimensions()
|
|
|
|
|
<< ", but got " << use_comp.index.size() << "."
|
|
|
|
|
<< endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
|
|
|
|
|
NetESignal*tmp = new NetESignal(net);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (net->unpacked_dimensions() == 0) {
|
|
|
|
|
NetESignal*tmp = new NetESignal(net);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// Convert a set of index expressions to a single expression
|
|
|
|
|
// that addresses the canonical element.
|
|
|
|
|
list<NetExpr*>unpacked_indices;
|
|
|
|
|
list<long> unpacked_indices_const;
|
|
|
|
|
indices_flags idx_flags;
|
|
|
|
|
indices_to_expressions(des, scope, this,
|
|
|
|
|
use_comp.index, net->unpacked_dimensions(),
|
2014-10-21 18:12:02 +02:00
|
|
|
need_const, net->unpacked_count(),
|
2014-08-30 05:31:51 +02:00
|
|
|
idx_flags,
|
|
|
|
|
unpacked_indices,
|
|
|
|
|
unpacked_indices_const);
|
|
|
|
|
|
|
|
|
|
NetExpr*canon_index = 0;
|
|
|
|
|
|
|
|
|
|
if (idx_flags.invalid) {
|
|
|
|
|
// Nothing to do
|
|
|
|
|
|
|
|
|
|
} else if (idx_flags.undefined) {
|
|
|
|
|
cerr << get_fileline() << ": warning: "
|
|
|
|
|
<< "returning 'bx for undefined array access "
|
|
|
|
|
<< net->name() << as_indices(unpacked_indices)
|
|
|
|
|
<< "." << endl;
|
|
|
|
|
|
|
|
|
|
} else if (idx_flags.variable) {
|
|
|
|
|
ivl_assert(*this, unpacked_indices.size() == net->unpacked_dimensions());
|
|
|
|
|
canon_index = normalize_variable_unpacked(net, unpacked_indices);
|
|
|
|
|
|
|
|
|
|
} else {
|
|
|
|
|
ivl_assert(*this, unpacked_indices_const.size() == net->unpacked_dimensions());
|
|
|
|
|
canon_index = normalize_variable_unpacked(net, unpacked_indices_const);
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
ivl_assert(*this, canon_index);
|
|
|
|
|
NetESignal*tmp = new NetESignal(net, canon_index);
|
2012-11-22 20:08:13 +01:00
|
|
|
tmp->set_line(*this);
|
|
|
|
|
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2013-03-15 04:08:32 +01:00
|
|
|
/*
|
|
|
|
|
* Guess that the path_ is the name of a member of a containing class,
|
|
|
|
|
* and see how that works. If it turns out that the current scope is
|
|
|
|
|
* not a method, or the name is not in the parent class, then
|
|
|
|
|
* fail. Otherwise, return a NetEProperty.
|
|
|
|
|
*/
|
2013-06-26 15:16:24 +02:00
|
|
|
NetExpr* PEIdent::elaborate_expr_class_member_(Design*des, NetScope*scope,
|
2013-03-15 04:08:32 +01:00
|
|
|
unsigned, unsigned) const
|
|
|
|
|
{
|
|
|
|
|
if (!gn_system_verilog())
|
|
|
|
|
return 0;
|
|
|
|
|
if (scope->parent() == 0)
|
|
|
|
|
return 0;
|
|
|
|
|
if (path_.size() != 1)
|
|
|
|
|
return 0;
|
|
|
|
|
|
2014-09-07 01:26:08 +02:00
|
|
|
const netclass_t*class_type = find_class_containing_scope(*this, scope);
|
2013-03-15 04:08:32 +01:00
|
|
|
if (class_type == 0)
|
|
|
|
|
return 0;
|
|
|
|
|
|
2014-09-12 22:07:34 +02:00
|
|
|
const name_component_t&name_comp = path_.back();
|
|
|
|
|
|
|
|
|
|
perm_string member_name = name_comp.name;
|
2013-03-15 04:08:32 +01:00
|
|
|
int pidx = class_type->property_idx_from_name(member_name);
|
|
|
|
|
if (pidx < 0)
|
|
|
|
|
return 0;
|
|
|
|
|
|
2014-09-07 01:26:08 +02:00
|
|
|
NetScope*scope_method = find_method_containing_scope(*this, scope);
|
|
|
|
|
ivl_assert(*this, scope_method);
|
|
|
|
|
|
|
|
|
|
NetNet*this_net = scope_method->find_signal(perm_string::literal("@"));
|
2013-03-15 04:08:32 +01:00
|
|
|
if (this_net == 0) {
|
|
|
|
|
cerr << get_fileline() << ": internal error: "
|
2014-09-07 01:26:08 +02:00
|
|
|
<< "Unable to find 'this' port of " << scope_path(scope_method)
|
2013-03-15 04:08:32 +01:00
|
|
|
<< "." << endl;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PEIdent::elaborate_expr_class_member: "
|
|
|
|
|
<< "Found member " << member_name
|
|
|
|
|
<< " is a member of class " << class_type->get_name()
|
2013-06-26 15:16:24 +02:00
|
|
|
<< ", context scope=" << scope_path(scope)
|
2014-09-08 02:48:19 +02:00
|
|
|
<< ", type=" << *class_type->get_prop_type(pidx)
|
|
|
|
|
<< ", so making a NetEProperty." << endl;
|
2013-03-15 04:08:32 +01:00
|
|
|
}
|
|
|
|
|
|
2013-06-26 15:16:24 +02:00
|
|
|
property_qualifier_t qual = class_type->get_prop_qual(pidx);
|
|
|
|
|
if (qual.test_local() && ! class_type->test_scope_is_method(scope)) {
|
|
|
|
|
cerr << get_fileline() << ": error: "
|
|
|
|
|
<< "Local property " << class_type->get_prop_name(pidx)
|
|
|
|
|
<< " is not accessible in this context."
|
|
|
|
|
<< " (scope=" << scope_path(scope) << ")" << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
|
|
|
|
|
2013-07-03 04:41:58 +02:00
|
|
|
if (qual.test_static()) {
|
|
|
|
|
return class_static_property_expression(this, class_type, member_name);
|
|
|
|
|
}
|
|
|
|
|
|
2014-09-12 22:07:34 +02:00
|
|
|
NetExpr*canon_index = 0;
|
2014-09-08 02:48:19 +02:00
|
|
|
ivl_type_t tmp_type = class_type->get_prop_type(pidx);
|
2014-09-12 22:07:34 +02:00
|
|
|
if (const netuarray_t*tmp_ua = dynamic_cast<const netuarray_t*>(tmp_type)) {
|
|
|
|
|
|
|
|
|
|
const std::vector<netrange_t>&dims = tmp_ua->static_dimensions();
|
|
|
|
|
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PEIdent::elaborate_expr_class_member_: "
|
|
|
|
|
<< "Property " << class_type->get_prop_name(pidx)
|
|
|
|
|
<< " has " << dims.size() << " dimensions, "
|
|
|
|
|
<< " got " << name_comp.index.size() << " indices." << endl;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (dims.size() != name_comp.index.size()) {
|
|
|
|
|
cerr << get_fileline() << ": error: "
|
|
|
|
|
<< "Got " << name_comp.index.size() << " indices, "
|
|
|
|
|
<< "expecting " << dims.size()
|
|
|
|
|
<< " to index the property " << class_type->get_prop_name(pidx) << "." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
|
|
|
|
|
} else {
|
|
|
|
|
|
|
|
|
|
canon_index = make_canonical_index(des, scope, this,
|
|
|
|
|
name_comp.index, tmp_ua, false);
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (debug_elaborate && canon_index) {
|
|
|
|
|
cerr << get_fileline() << ": PEIdent::elaborate_expr_class_member_: "
|
|
|
|
|
<< "Property " << class_type->get_prop_name(pidx)
|
|
|
|
|
<< " canonical index: " << *canon_index << endl;
|
2014-09-08 02:48:19 +02:00
|
|
|
}
|
|
|
|
|
|
2014-09-12 22:07:34 +02:00
|
|
|
NetEProperty*tmp = new NetEProperty(this_net, member_name, canon_index);
|
2013-03-15 04:08:32 +01:00
|
|
|
tmp->set_line(*this);
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2014-08-09 16:45:48 +02:00
|
|
|
NetExpr* PEIdent::elaborate_expr_method_(Design*des, NetScope*scope,
|
2014-08-26 03:23:41 +02:00
|
|
|
unsigned, unsigned) const
|
2014-08-09 16:45:48 +02:00
|
|
|
{
|
|
|
|
|
if (!gn_system_verilog())
|
|
|
|
|
return 0;
|
|
|
|
|
if (path_.size() < 2)
|
|
|
|
|
return 0;
|
|
|
|
|
|
|
|
|
|
pform_name_t use_path = path_;
|
|
|
|
|
perm_string member_name = peek_tail_name(path_);
|
|
|
|
|
use_path.pop_back();
|
|
|
|
|
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PEIdent::elaborate_expr_method_: "
|
|
|
|
|
<< "Try to find method=" << member_name
|
|
|
|
|
<< " of signal " << use_path << endl;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
NetNet*net = 0;
|
|
|
|
|
const NetExpr*par = 0;
|
|
|
|
|
NetEvent*eve = 0;
|
|
|
|
|
const NetExpr*ex1 = 0, *ex2 = 0;
|
|
|
|
|
symbol_search(this, des, scope, use_path, net, par, eve, ex1, ex2);
|
|
|
|
|
if (net == 0) {
|
|
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": PEIdent::elaborate_expr_method_: "
|
|
|
|
|
<< "Only nets can have methods, so give up here." << endl;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2014-08-26 03:23:41 +02:00
|
|
|
if (net->darray_type()) {
|
2014-08-09 16:45:48 +02:00
|
|
|
if (member_name == "size") {
|
2014-08-10 05:43:53 +02:00
|
|
|
NetESFunc*fun = new NetESFunc("$size", IVL_VT_BOOL, 32, 1);
|
2014-08-09 16:45:48 +02:00
|
|
|
fun->set_line(*this);
|
|
|
|
|
|
|
|
|
|
NetESignal*arg = new NetESignal(net);
|
|
|
|
|
arg->set_line(*net);
|
|
|
|
|
|
|
|
|
|
fun->parm(0, arg);
|
|
|
|
|
return fun;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2014-08-30 18:45:09 +02:00
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PEIdent::elaborate_expr_method_: "
|
|
|
|
|
<< "Give up trying to find method " << member_name
|
|
|
|
|
<< " of " << path_ << "." << endl;
|
|
|
|
|
}
|
|
|
|
|
|
2014-08-09 16:45:48 +02:00
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2001-12-29 21:41:30 +01:00
|
|
|
/*
|
|
|
|
|
* Elaborate an identifier in an expression. The identifier can be a
|
|
|
|
|
* parameter name, a signal name or a memory name. It can also be a
|
|
|
|
|
* scope name (Return a NetEScope) but only certain callers can use
|
|
|
|
|
* scope names. However, we still support it here.
|
|
|
|
|
*
|
|
|
|
|
* Function names are not handled here, they are detected by the
|
|
|
|
|
* parser and are elaborated by PECallFunction.
|
|
|
|
|
*
|
|
|
|
|
* The signal name may be escaped, but that affects nothing here.
|
|
|
|
|
*/
|
2002-04-13 04:33:17 +02:00
|
|
|
NetExpr* PEIdent::elaborate_expr(Design*des, NetScope*scope,
|
2011-03-27 12:08:33 +02:00
|
|
|
unsigned expr_wid, unsigned flags) const
|
1999-09-20 04:21:10 +02:00
|
|
|
{
|
2000-03-08 05:36:53 +01:00
|
|
|
assert(scope);
|
2003-09-19 05:30:04 +02:00
|
|
|
|
|
|
|
|
NetNet* net = 0;
|
|
|
|
|
const NetExpr*par = 0;
|
|
|
|
|
NetEvent* eve = 0;
|
|
|
|
|
|
2005-11-27 06:56:20 +01:00
|
|
|
const NetExpr*ex1, *ex2;
|
|
|
|
|
|
2013-03-15 04:08:32 +01:00
|
|
|
// Special case: Detect the special situation that this name
|
|
|
|
|
// is the name of a variable in the class, and this is a class
|
|
|
|
|
// method. We sense that this might be the case by noting that
|
|
|
|
|
// the parent scope of where we are working is a
|
|
|
|
|
// NetScope::CLASS, the path_ is a single component, and the
|
|
|
|
|
// name is a property of the class. If that turns out to be
|
|
|
|
|
// the case, then handle this specially.
|
|
|
|
|
if (NetExpr*tmp = elaborate_expr_class_member_(des, scope, expr_wid, flags)) {
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2011-04-05 21:43:54 +02:00
|
|
|
if (path_.size() > 1) {
|
|
|
|
|
if (NEED_CONST & flags) {
|
|
|
|
|
cerr << get_fileline() << ": error: A hierarchical reference"
|
|
|
|
|
" (`" << path_ << "') is not allowed in a constant"
|
|
|
|
|
" expression." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
if (scope->need_const_func()) {
|
|
|
|
|
cerr << get_fileline() << ": error: A hierarchical reference"
|
|
|
|
|
" (`" << path_ << "') is not allowed in a constant"
|
|
|
|
|
" function." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
scope->is_const_func(false);
|
2010-12-05 22:28:17 +01:00
|
|
|
}
|
|
|
|
|
|
2012-04-29 22:46:37 +02:00
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": PEIdent::elaborate_expr: path_=" << path_ << endl;
|
|
|
|
|
|
2013-02-17 23:42:07 +01:00
|
|
|
NetScope*use_scope = scope;
|
|
|
|
|
if (package_) {
|
|
|
|
|
use_scope = des->find_package(package_->pscope_name());
|
|
|
|
|
ivl_assert(*this, use_scope);
|
|
|
|
|
}
|
|
|
|
|
|
2014-08-09 16:45:48 +02:00
|
|
|
// Special case: Detect the special situation that the name is
|
|
|
|
|
// a method of an object (including built-in methods) that has
|
|
|
|
|
// no arguments. For example, "foo.size" is the call to the
|
|
|
|
|
// size() method if foo is an array type.
|
|
|
|
|
if (NetExpr*tmp = elaborate_expr_method_(des, scope, expr_wid, flags)) {
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2013-02-17 23:42:07 +01:00
|
|
|
NetScope*found_in = symbol_search(this, des, use_scope, path_,
|
2007-01-16 06:44:14 +01:00
|
|
|
net, par, eve,
|
2005-11-27 06:56:20 +01:00
|
|
|
ex1, ex2);
|
1999-09-20 04:21:10 +02:00
|
|
|
|
|
|
|
|
// If the identifier name is a parameter name, then return
|
2011-02-26 23:59:52 +01:00
|
|
|
// the parameter value.
|
|
|
|
|
if (par != 0) {
|
|
|
|
|
NetExpr*tmp = elaborate_expr_param_(des, scope, par, found_in,
|
2011-03-27 12:08:33 +02:00
|
|
|
ex1, ex2, expr_wid, flags);
|
2011-02-26 23:59:52 +01:00
|
|
|
|
|
|
|
|
if (!tmp) return 0;
|
|
|
|
|
|
|
|
|
|
tmp = pad_to_width(tmp, expr_wid, *this);
|
|
|
|
|
tmp->cast_signed(signed_flag_);
|
|
|
|
|
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
2003-03-11 00:40:53 +01:00
|
|
|
|
1999-09-20 04:21:10 +02:00
|
|
|
// If the identifier names a signal (a register or wire)
|
|
|
|
|
// then create a NetESignal node to handle it.
|
2011-02-26 23:59:52 +01:00
|
|
|
if (net != 0) {
|
2011-03-27 12:08:33 +02:00
|
|
|
if (NEED_CONST & flags) {
|
|
|
|
|
cerr << get_fileline() << ": error: A reference to a wire "
|
2011-04-05 21:43:54 +02:00
|
|
|
"or reg (`" << path_ << "') is not allowed in "
|
2011-03-27 12:08:33 +02:00
|
|
|
"a constant expression." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
2013-03-09 13:24:50 +01:00
|
|
|
if (net->scope()->type() == NetScope::MODULE) {
|
2011-04-05 21:43:54 +02:00
|
|
|
if (scope->need_const_func()) {
|
|
|
|
|
cerr << get_fileline() << ": error: A reference to a "
|
|
|
|
|
"non-local wire or reg (`" << path_ << "') is "
|
|
|
|
|
"not allowed in a constant function." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
scope->is_const_func(false);
|
|
|
|
|
}
|
2011-03-27 12:08:33 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
NetExpr*tmp = elaborate_expr_net(des, scope, net, found_in,
|
2011-03-27 12:08:33 +02:00
|
|
|
expr_wid, flags);
|
2011-02-26 23:59:52 +01:00
|
|
|
|
|
|
|
|
if (!tmp) return 0;
|
|
|
|
|
|
2014-04-06 05:57:22 +02:00
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PEIdent::elaborate_expr: "
|
|
|
|
|
<< "Expression as net. expr_wid=" << expr_wid
|
|
|
|
|
<< ", tmp->expr_width()=" << tmp->expr_width()
|
|
|
|
|
<< ", tmp=" << *tmp << endl;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
tmp = pad_to_width(tmp, expr_wid, *this);
|
|
|
|
|
tmp->cast_signed(signed_flag_);
|
|
|
|
|
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
1999-09-20 04:21:10 +02:00
|
|
|
|
2011-03-27 12:08:33 +02:00
|
|
|
// If the identifier is a named event
|
|
|
|
|
// then create a NetEEvent node to handle it.
|
2003-09-19 05:30:04 +02:00
|
|
|
if (eve != 0) {
|
2011-03-27 12:08:33 +02:00
|
|
|
if (NEED_CONST & flags) {
|
|
|
|
|
cerr << get_fileline() << ": error: A reference to a named "
|
2011-04-05 21:43:54 +02:00
|
|
|
"event (`" << path_ << "') is not allowed in a "
|
2011-03-27 12:08:33 +02:00
|
|
|
"constant expression." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
2011-04-05 21:43:54 +02:00
|
|
|
if (eve->scope() != scope) {
|
|
|
|
|
if (scope->need_const_func()) {
|
|
|
|
|
cerr << get_fileline() << ": error: A reference to a "
|
|
|
|
|
"non-local named event (`" << path_ << "') is "
|
|
|
|
|
"not allowed in a constant function." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
scope->is_const_func(false);
|
|
|
|
|
}
|
2011-03-27 12:08:33 +02:00
|
|
|
|
2003-09-19 05:30:04 +02:00
|
|
|
NetEEvent*tmp = new NetEEvent(eve);
|
2003-04-22 06:48:29 +02:00
|
|
|
tmp->set_line(*this);
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2006-04-12 07:05:03 +02:00
|
|
|
// Hmm... maybe this is a genvar? This is only possible while
|
|
|
|
|
// processing generate blocks, but then the genvar_tmp will be
|
|
|
|
|
// set in the scope.
|
2007-05-24 06:07:11 +02:00
|
|
|
if (path_.size() == 1
|
2006-04-12 07:05:03 +02:00
|
|
|
&& scope->genvar_tmp.str()
|
2007-05-24 06:07:11 +02:00
|
|
|
&& strcmp(peek_tail_name(path_), scope->genvar_tmp) == 0) {
|
2007-06-26 05:33:40 +02:00
|
|
|
if (debug_elaborate)
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": debug: " << path_
|
2007-06-26 05:33:40 +02:00
|
|
|
<< " is genvar with value " << scope->genvar_tmp_val
|
|
|
|
|
<< "." << endl;
|
2011-02-26 23:59:52 +01:00
|
|
|
verinum val (scope->genvar_tmp_val, expr_wid);
|
2013-01-11 23:18:02 +01:00
|
|
|
val.has_sign(true);
|
2006-04-12 07:05:03 +02:00
|
|
|
NetEConst*tmp = new NetEConst(val);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2010-10-31 22:07:38 +01:00
|
|
|
// Maybe this is a method attached to an enumeration name? If
|
2015-06-02 19:40:24 +02:00
|
|
|
// this is SystemVerilog, then test to see if the name is
|
2010-10-31 22:07:38 +01:00
|
|
|
// really a method attached to an object.
|
|
|
|
|
if (gn_system_verilog() && found_in==0 && path_.size() >= 2) {
|
|
|
|
|
pform_name_t use_path = path_;
|
2012-03-26 02:59:05 +02:00
|
|
|
name_component_t member_comp = use_path.back();
|
2010-10-31 22:07:38 +01:00
|
|
|
use_path.pop_back();
|
|
|
|
|
|
2012-04-29 22:46:37 +02:00
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": PEIdent::elaborate_expr: "
|
|
|
|
|
<< "Look for base_path " << use_path
|
|
|
|
|
<< " for member " << member_comp << "." << endl;
|
|
|
|
|
|
2012-11-13 03:13:41 +01:00
|
|
|
ivl_assert(*this, net == 0);
|
2013-04-08 02:10:15 +02:00
|
|
|
symbol_search(this, des, use_scope, use_path, net, par, eve, ex1, ex2);
|
2010-10-31 22:07:38 +01:00
|
|
|
|
2011-10-05 04:14:48 +02:00
|
|
|
// Check to see if we have a net and if so is it an
|
|
|
|
|
// enumeration? If so then check to see if this is an
|
|
|
|
|
// enumeration method call.
|
2010-10-31 22:07:38 +01:00
|
|
|
if (net != 0) {
|
2011-12-04 02:16:01 +01:00
|
|
|
// If this net is actually an enum, the method may
|
|
|
|
|
// be an enumeration method.
|
2013-04-15 03:03:21 +02:00
|
|
|
if (const netenum_t*netenum = net->enumeration()) {
|
2011-10-12 04:14:17 +02:00
|
|
|
// We may need the net expression for the
|
|
|
|
|
// enumeration variable so get it.
|
|
|
|
|
NetESignal*expr = new NetESignal(net);
|
|
|
|
|
expr->set_line(*this);
|
|
|
|
|
// This expression cannot be a select!
|
|
|
|
|
assert(use_path.back().index.empty());
|
2011-10-05 04:14:48 +02:00
|
|
|
|
2013-04-08 02:10:15 +02:00
|
|
|
return check_for_enum_methods(this, des, use_scope,
|
2011-10-05 04:14:48 +02:00
|
|
|
netenum,
|
2012-03-26 02:59:05 +02:00
|
|
|
use_path, member_comp.name,
|
2011-10-12 20:03:12 +02:00
|
|
|
expr, expr_wid, NULL, 0);
|
2010-11-06 03:49:28 +01:00
|
|
|
}
|
2011-12-04 02:16:01 +01:00
|
|
|
|
|
|
|
|
// If this net is a struct, the method name may be
|
|
|
|
|
// a struct member.
|
|
|
|
|
if (net->struct_type() != 0) {
|
2012-08-20 02:27:48 +02:00
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": debug: "
|
|
|
|
|
<< "PEIdent::elaborate_expr: "
|
|
|
|
|
<< "Ident " << use_path
|
|
|
|
|
<< " is a struct."
|
|
|
|
|
<< " Expecting " << net->packed_dims().size()
|
|
|
|
|
<< "-1 dimensions, "
|
|
|
|
|
<< "got " << use_path.back().index.size() << "." << endl;
|
|
|
|
|
}
|
2011-12-04 02:16:01 +01:00
|
|
|
|
2013-12-19 08:34:27 +01:00
|
|
|
NetExpr*tmp = check_for_struct_members(this, des, use_scope,
|
|
|
|
|
net, use_path.back().index,
|
|
|
|
|
member_comp);
|
|
|
|
|
if (!tmp) return 0;
|
|
|
|
|
|
|
|
|
|
tmp = pad_to_width(tmp, expr_wid, *this);
|
|
|
|
|
tmp->cast_signed(signed_flag_);
|
|
|
|
|
return tmp;
|
2011-12-04 02:16:01 +01:00
|
|
|
}
|
|
|
|
|
|
2012-11-25 19:13:05 +01:00
|
|
|
if (net->class_type() != 0) {
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PEIdent::elaborate_expr: "
|
|
|
|
|
<< "Ident " << use_path
|
|
|
|
|
<< " look for property " << member_comp << endl;
|
|
|
|
|
}
|
|
|
|
|
|
2013-04-08 02:10:15 +02:00
|
|
|
return check_for_class_property(this, des, use_scope,
|
2012-11-25 19:13:05 +01:00
|
|
|
net, member_comp);
|
|
|
|
|
}
|
2010-10-31 22:07:38 +01:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2007-06-26 05:33:40 +02:00
|
|
|
// At this point we've exhausted all the possibilities that
|
|
|
|
|
// are not scopes. If this is not a system task argument, then
|
|
|
|
|
// it cannot be a scope name, so give up.
|
|
|
|
|
|
2011-03-27 12:08:33 +02:00
|
|
|
if ( !(SYS_TASK_ARG & flags) ) {
|
2007-06-26 05:33:40 +02:00
|
|
|
// I cannot interpret this identifier. Error message.
|
2011-03-27 12:08:33 +02:00
|
|
|
cerr << get_fileline() << ": error: Unable to bind "
|
|
|
|
|
<< (NEED_CONST & flags ? "parameter" : "wire/reg/memory")
|
|
|
|
|
<< " `" << path_ << "' in `" << scope_path(scope) << "'"
|
|
|
|
|
<< endl;
|
2011-04-05 21:43:54 +02:00
|
|
|
if (scope->need_const_func()) {
|
|
|
|
|
cerr << get_fileline() << ": : `" << scope->basename()
|
|
|
|
|
<< "' is being used as a constant function, so may "
|
|
|
|
|
"only reference local variables." << endl;
|
|
|
|
|
}
|
2007-06-26 05:33:40 +02:00
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2001-07-30 00:22:40 +02:00
|
|
|
// Finally, if this is a scope name, then return that. Look
|
|
|
|
|
// first to see if this is a name of a local scope. Failing
|
2003-01-27 06:09:17 +01:00
|
|
|
// that, search globally for a hierarchical name.
|
2007-06-02 05:42:12 +02:00
|
|
|
if ((path_.size() == 1)) {
|
|
|
|
|
hname_t use_name ( peek_tail_name(path_) );
|
|
|
|
|
if (NetScope*nsc = scope->child(use_name)) {
|
2001-12-03 05:47:14 +01:00
|
|
|
NetEScope*tmp = new NetEScope(nsc);
|
|
|
|
|
tmp->set_line(*this);
|
2007-06-26 05:33:40 +02:00
|
|
|
|
|
|
|
|
if (debug_elaborate)
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": debug: Found scope "
|
2007-06-26 05:33:40 +02:00
|
|
|
<< use_name << " in scope " << scope->basename()
|
|
|
|
|
<< endl;
|
|
|
|
|
|
2001-12-03 05:47:14 +01:00
|
|
|
return tmp;
|
|
|
|
|
}
|
2007-06-02 05:42:12 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
list<hname_t> spath = eval_scope_path(des, scope, path_);
|
2001-07-30 00:22:40 +02:00
|
|
|
|
2007-06-26 05:33:40 +02:00
|
|
|
ivl_assert(*this, spath.size() == path_.size());
|
|
|
|
|
|
2003-03-25 04:00:04 +01:00
|
|
|
// Try full hierarchical scope name.
|
2007-06-02 05:42:12 +02:00
|
|
|
if (NetScope*nsc = des->find_scope(spath)) {
|
1999-11-30 05:54:01 +01:00
|
|
|
NetEScope*tmp = new NetEScope(nsc);
|
|
|
|
|
tmp->set_line(*this);
|
2007-06-26 05:33:40 +02:00
|
|
|
|
|
|
|
|
if (debug_elaborate)
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": debug: Found scope "
|
2007-06-26 05:33:40 +02:00
|
|
|
<< nsc->basename()
|
|
|
|
|
<< " path=" << path_ << endl;
|
|
|
|
|
|
2011-03-27 12:08:33 +02:00
|
|
|
if ( !(SYS_TASK_ARG & flags) ) {
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": error: Scope name "
|
2007-06-26 05:33:40 +02:00
|
|
|
<< nsc->basename() << " not allowed here." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
|
|
|
|
|
1999-11-30 05:54:01 +01:00
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2003-03-25 04:00:04 +01:00
|
|
|
// Try relative scope name.
|
2007-06-02 05:42:12 +02:00
|
|
|
if (NetScope*nsc = des->find_scope(scope, spath)) {
|
2003-03-25 04:00:04 +01:00
|
|
|
NetEScope*tmp = new NetEScope(nsc);
|
|
|
|
|
tmp->set_line(*this);
|
2007-06-26 05:33:40 +02:00
|
|
|
|
|
|
|
|
if (debug_elaborate)
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": debug: Found scope "
|
2007-06-26 05:33:40 +02:00
|
|
|
<< nsc->basename() << " in " << scope_path(scope) << endl;
|
|
|
|
|
|
2003-03-25 04:00:04 +01:00
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
1999-09-20 04:21:10 +02:00
|
|
|
// I cannot interpret this identifier. Error message.
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": error: Unable to bind wire/reg/memory "
|
2007-06-02 05:42:12 +02:00
|
|
|
"`" << path_ << "' in `" << scope_path(scope) << "'" << endl;
|
1999-09-20 04:21:10 +02:00
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2008-06-09 04:29:00 +02:00
|
|
|
static verinum param_part_select_bits(const verinum&par_val, long wid,
|
2009-08-29 01:50:59 +02:00
|
|
|
long lsv)
|
2008-06-09 04:29:00 +02:00
|
|
|
{
|
|
|
|
|
verinum result (verinum::Vx, wid, true);
|
|
|
|
|
|
|
|
|
|
for (long idx = 0 ; idx < wid ; idx += 1) {
|
2009-08-29 01:50:59 +02:00
|
|
|
long off = idx + lsv;
|
2008-06-09 04:29:00 +02:00
|
|
|
if (off < 0)
|
2010-01-10 04:57:01 +01:00
|
|
|
continue;
|
2008-06-09 04:29:00 +02:00
|
|
|
else if (off < (long)par_val.len())
|
|
|
|
|
result.set(idx, par_val.get(off));
|
|
|
|
|
else if (par_val.is_string()) // Pad strings with nulls.
|
|
|
|
|
result.set(idx, verinum::V0);
|
|
|
|
|
else if (par_val.has_len()) // Pad sized parameters with X
|
2010-01-10 04:57:01 +01:00
|
|
|
continue;
|
2008-06-09 04:29:00 +02:00
|
|
|
else // Unsized parameters are "infinite" width.
|
|
|
|
|
result.set(idx, sign_bit(par_val));
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// If the input is a string, and the part select is working on
|
|
|
|
|
// byte boundaries, then make the result into a string.
|
2009-08-29 01:50:59 +02:00
|
|
|
if (par_val.is_string() && (labs(lsv)%8 == 0) && (wid%8 == 0))
|
2008-06-09 04:29:00 +02:00
|
|
|
return result.as_string();
|
|
|
|
|
|
|
|
|
|
return result;
|
|
|
|
|
}
|
|
|
|
|
|
2013-07-06 01:07:19 +02:00
|
|
|
NetExpr* PEIdent::elaborate_expr_param_bit_(Design*des, NetScope*scope,
|
|
|
|
|
const NetExpr*par,
|
|
|
|
|
NetScope*found_in,
|
|
|
|
|
const NetExpr*par_msb,
|
|
|
|
|
const NetExpr*par_lsb,
|
|
|
|
|
bool need_const) const
|
|
|
|
|
{
|
|
|
|
|
const NetEConst*par_ex = dynamic_cast<const NetEConst*> (par);
|
|
|
|
|
ivl_assert(*this, par_ex);
|
|
|
|
|
|
|
|
|
|
long par_msv, par_lsv;
|
|
|
|
|
if(! calculate_param_range_(des, scope, par_msb, par_msv,
|
|
|
|
|
par_lsb, par_lsv,
|
|
|
|
|
par_ex->value().len())) return 0;
|
|
|
|
|
|
|
|
|
|
const name_component_t&name_tail = path_.back();
|
|
|
|
|
ivl_assert(*this, !name_tail.index.empty());
|
|
|
|
|
const index_component_t&index_tail = name_tail.index.back();
|
|
|
|
|
ivl_assert(*this, index_tail.msb);
|
|
|
|
|
ivl_assert(*this, !index_tail.lsb);
|
|
|
|
|
|
|
|
|
|
NetExpr*sel = elab_and_eval(des, scope, index_tail.msb, -1, need_const);
|
|
|
|
|
if (sel == 0) return 0;
|
|
|
|
|
|
|
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": debug: Calculate bit select "
|
|
|
|
|
<< "[" << *sel << "] from range "
|
|
|
|
|
<< "[" << par_msv << ":" << par_lsv << "]." << endl;
|
|
|
|
|
|
|
|
|
|
perm_string name = peek_tail_name(path_);
|
|
|
|
|
|
|
|
|
|
// Handle the special case that the selection is constant. In this
|
|
|
|
|
// case, just precalculate the entire constant result.
|
|
|
|
|
if (NetEConst*sel_c = dynamic_cast<NetEConst*> (sel)) {
|
|
|
|
|
// Special case: If the bit select is constant and not fully
|
|
|
|
|
// defined, then we know that the result must be 1'bx.
|
|
|
|
|
if (! sel_c->value().is_defined()) {
|
|
|
|
|
if (warn_ob_select) {
|
|
|
|
|
cerr << get_fileline() << ": warning: "
|
|
|
|
|
"Constant undefined bit select ["
|
|
|
|
|
<< sel_c->value() << "] for parameter '"
|
|
|
|
|
<< name << "'." << endl;
|
|
|
|
|
cerr << get_fileline() << ": : "
|
|
|
|
|
"Replacing select with a constant 1'bx."
|
|
|
|
|
<< endl;
|
|
|
|
|
}
|
|
|
|
|
NetEConst*res = make_const_x(1);
|
|
|
|
|
res->set_line(*this);
|
|
|
|
|
return res;
|
|
|
|
|
}
|
|
|
|
|
// Calculate the canonical index value.
|
|
|
|
|
long sel_v = sel_c->value().as_long();
|
|
|
|
|
if (par_msv >= par_lsv) sel_v -= par_lsv;
|
|
|
|
|
else sel_v = par_lsv - sel_v;
|
|
|
|
|
|
|
|
|
|
// Select a bit from the parameter.
|
|
|
|
|
verinum par_v = par_ex->value();
|
|
|
|
|
verinum::V rtn = verinum::Vx;
|
|
|
|
|
|
|
|
|
|
// A constant in range select.
|
|
|
|
|
if ((sel_v >= 0) && ((unsigned long) sel_v < par_v.len())) {
|
|
|
|
|
rtn = par_v[sel_v];
|
|
|
|
|
// An unsized after select.
|
|
|
|
|
} else if ((sel_v >= 0) && (! par_v.has_len())) {
|
|
|
|
|
if (par_v.has_sign()) rtn = par_v[par_v.len()-1];
|
|
|
|
|
else rtn = verinum::V0;
|
|
|
|
|
} else if (warn_ob_select) {
|
|
|
|
|
cerr << get_fileline() << ": warning: "
|
|
|
|
|
"Constant bit select [" << sel_c->value().as_long()
|
|
|
|
|
<< "] is ";
|
|
|
|
|
if (sel_v < 0) cerr << "before ";
|
|
|
|
|
else cerr << "after ";
|
|
|
|
|
cerr << name << "[";
|
|
|
|
|
if (par_v.has_len()) cerr << par_msv;
|
|
|
|
|
else cerr << "<inf>";
|
|
|
|
|
cerr << ":" << par_lsv << "]." << endl;
|
|
|
|
|
cerr << get_fileline() << ": : "
|
|
|
|
|
"Replacing select with a constant 1'bx." << endl;
|
|
|
|
|
}
|
|
|
|
|
NetEConst*res = new NetEConst(verinum(rtn, 1));
|
|
|
|
|
res->set_line(*this);
|
|
|
|
|
return res;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
sel = normalize_variable_base(sel, par_msv, par_lsv, 1, true);
|
|
|
|
|
|
|
|
|
|
/* Create a parameter reference for the variable select. */
|
|
|
|
|
NetEConstParam*ptmp = new NetEConstParam(found_in, name, par_ex->value());
|
|
|
|
|
NetScope::param_ref_t pref = found_in->find_parameter(name);
|
|
|
|
|
ptmp->set_line((*pref).second);
|
|
|
|
|
|
|
|
|
|
NetExpr*tmp = new NetESelect(ptmp, sel, 1);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2008-06-08 16:34:45 +02:00
|
|
|
NetExpr* PEIdent::elaborate_expr_param_part_(Design*des, NetScope*scope,
|
|
|
|
|
const NetExpr*par,
|
2010-11-01 22:37:06 +01:00
|
|
|
NetScope*,
|
2008-06-08 16:34:45 +02:00
|
|
|
const NetExpr*par_msb,
|
2011-02-26 23:59:52 +01:00
|
|
|
const NetExpr*par_lsb,
|
|
|
|
|
unsigned expr_wid) const
|
2008-06-08 16:34:45 +02:00
|
|
|
{
|
|
|
|
|
long msv, lsv;
|
2009-01-02 01:20:41 +01:00
|
|
|
bool parts_defined_flag;
|
|
|
|
|
bool flag = calculate_parts_(des, scope, msv, lsv, parts_defined_flag);
|
2008-06-08 16:34:45 +02:00
|
|
|
if (!flag)
|
|
|
|
|
return 0;
|
|
|
|
|
|
2010-01-10 04:57:01 +01:00
|
|
|
const NetEConst*par_ex = dynamic_cast<const NetEConst*> (par);
|
|
|
|
|
ivl_assert(*this, par_ex);
|
|
|
|
|
|
|
|
|
|
|
2008-06-08 16:34:45 +02:00
|
|
|
long par_msv, par_lsv;
|
2010-01-10 04:57:01 +01:00
|
|
|
if (! calculate_param_range_(des, scope, par_msb, par_msv,
|
|
|
|
|
par_lsb, par_lsv,
|
|
|
|
|
par_ex->value().len())) return 0;
|
2008-06-08 16:34:45 +02:00
|
|
|
|
2009-01-02 01:20:41 +01:00
|
|
|
if (! parts_defined_flag) {
|
2010-01-10 04:57:01 +01:00
|
|
|
if (warn_ob_select) {
|
|
|
|
|
const index_component_t&psel = path_.back().index.back();
|
|
|
|
|
perm_string name = peek_tail_name(path_);
|
|
|
|
|
cerr << get_fileline() << ": warning: "
|
|
|
|
|
"Undefined part select [" << *(psel.msb) << ":"
|
|
|
|
|
<< *(psel.lsb) << "] for parameter '" << name
|
|
|
|
|
<< "'." << endl;
|
|
|
|
|
cerr << get_fileline() << ": : "
|
|
|
|
|
"Replacing select with a constant 'bx." << endl;
|
|
|
|
|
}
|
2009-01-02 01:20:41 +01:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
verinum val(verinum::Vx, expr_wid, true);
|
|
|
|
|
NetEConst*tmp = new NetEConst(val);
|
2009-01-02 01:20:41 +01:00
|
|
|
tmp->set_line(*this);
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2008-06-10 17:49:36 +02:00
|
|
|
// Notice that the par_msv is not used in this function other
|
|
|
|
|
// than for this test. It is used to tell the direction that
|
2008-06-08 16:34:45 +02:00
|
|
|
// the bits are numbers, so that we can make sure the
|
|
|
|
|
// direction matches the part select direction. After that,
|
|
|
|
|
// we only need the par_lsv.
|
2008-06-10 17:49:36 +02:00
|
|
|
if ((msv>lsv && par_msv<par_lsv) || (msv<lsv && par_msv>=par_lsv)) {
|
2010-01-10 04:57:01 +01:00
|
|
|
perm_string name = peek_tail_name(path_);
|
|
|
|
|
cerr << get_fileline() << ": error: Part select " << name
|
2008-06-08 16:34:45 +02:00
|
|
|
<< "[" << msv << ":" << lsv << "] is out of order." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
long wid = 1 + labs(msv-lsv);
|
|
|
|
|
|
2010-01-10 04:57:01 +01:00
|
|
|
// Watch out for reversed bit numbering. We're making
|
|
|
|
|
// the part select from LSB to MSB.
|
|
|
|
|
long base;
|
|
|
|
|
if (par_msv < par_lsv) {
|
|
|
|
|
base = par_lsv - lsv;
|
|
|
|
|
} else {
|
|
|
|
|
base = lsv - par_lsv;
|
|
|
|
|
}
|
2008-06-08 16:34:45 +02:00
|
|
|
|
2010-01-10 04:57:01 +01:00
|
|
|
if (warn_ob_select) {
|
|
|
|
|
if (base < 0) {
|
|
|
|
|
perm_string name = peek_tail_name(path_);
|
|
|
|
|
cerr << get_fileline() << ": warning: Part select "
|
|
|
|
|
<< "[" << msv << ":" << lsv << "] is selecting "
|
|
|
|
|
"before the parameter " << name << "[";
|
|
|
|
|
if (par_ex->value().has_len()) cerr << par_msv;
|
|
|
|
|
else cerr << "<inf>";
|
|
|
|
|
cerr << ":" << par_lsv << "]." << endl;
|
|
|
|
|
cerr << get_fileline() << ": : Replacing "
|
|
|
|
|
"the out of bound bits with 'bx." << endl;
|
|
|
|
|
}
|
|
|
|
|
if (par_ex->value().has_len() &&
|
|
|
|
|
(base+wid > (long)par->expr_width())) {
|
|
|
|
|
perm_string name = peek_tail_name(path_);
|
|
|
|
|
cerr << get_fileline() << ": warning: Part select "
|
|
|
|
|
<< name << "[" << msv << ":" << lsv << "] is selecting "
|
|
|
|
|
"after the parameter " << name << "[" << par_msv
|
|
|
|
|
<< ":" << par_lsv << "]." << endl;
|
|
|
|
|
cerr << get_fileline() << ": : Replacing "
|
|
|
|
|
"the out of bound bits with 'bx." << endl;
|
|
|
|
|
}
|
|
|
|
|
}
|
2008-06-09 04:29:00 +02:00
|
|
|
|
2010-01-10 04:57:01 +01:00
|
|
|
verinum result = param_part_select_bits(par_ex->value(), wid, base);
|
2008-06-09 04:29:00 +02:00
|
|
|
NetEConst*result_ex = new NetEConst(result);
|
|
|
|
|
result_ex->set_line(*this);
|
|
|
|
|
|
|
|
|
|
return result_ex;
|
|
|
|
|
}
|
|
|
|
|
|
2009-08-29 01:50:59 +02:00
|
|
|
static void warn_param_ob(long par_msv, long par_lsv, bool defined,
|
|
|
|
|
long par_base, unsigned long wid, long pwid,
|
|
|
|
|
const LineInfo *info, perm_string name, bool up)
|
|
|
|
|
{
|
|
|
|
|
long par_max;
|
|
|
|
|
|
|
|
|
|
if (defined) {
|
|
|
|
|
if (par_msv < par_lsv) par_max = par_lsv-par_msv;
|
|
|
|
|
else par_max = par_msv-par_lsv;
|
|
|
|
|
} else {
|
|
|
|
|
if (pwid < 0) par_max = integer_width;
|
|
|
|
|
else par_max = pwid;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
/* Is this a select before the start of the parameter? */
|
|
|
|
|
if (par_base < 0) {
|
|
|
|
|
cerr << info->get_fileline() << ": warning: " << name << "["
|
|
|
|
|
<< par_base;
|
|
|
|
|
if (up) cerr << "+:";
|
|
|
|
|
else cerr << "-:";
|
|
|
|
|
cerr << wid << "] is selecting before vector." << endl;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
/* Is this a select after the end of the parameter? */
|
|
|
|
|
if (par_base + (long)wid - 1 > par_max) {
|
|
|
|
|
cerr << info->get_fileline() << ": warning: " << name << "["
|
|
|
|
|
<< par_base << "+:" << wid << "] is selecting after vector."
|
|
|
|
|
<< endl;
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2008-06-09 04:29:00 +02:00
|
|
|
NetExpr* PEIdent::elaborate_expr_param_idx_up_(Design*des, NetScope*scope,
|
|
|
|
|
const NetExpr*par,
|
2012-01-02 19:11:56 +01:00
|
|
|
NetScope*found_in,
|
2008-06-09 04:29:00 +02:00
|
|
|
const NetExpr*par_msb,
|
2011-03-27 12:08:33 +02:00
|
|
|
const NetExpr*par_lsb,
|
|
|
|
|
bool need_const) const
|
2008-06-09 04:29:00 +02:00
|
|
|
{
|
2010-01-10 04:57:01 +01:00
|
|
|
const NetEConst*par_ex = dynamic_cast<const NetEConst*> (par);
|
|
|
|
|
ivl_assert(*this, par_ex);
|
|
|
|
|
|
2008-06-09 04:29:00 +02:00
|
|
|
long par_msv, par_lsv;
|
2009-08-29 01:50:59 +02:00
|
|
|
if(! calculate_param_range_(des, scope, par_msb, par_msv,
|
2010-01-10 04:57:01 +01:00
|
|
|
par_lsb, par_lsv,
|
|
|
|
|
par_ex->value().len())) return 0;
|
2008-06-09 04:29:00 +02:00
|
|
|
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr*base = calculate_up_do_base_(des, scope, need_const);
|
2009-08-29 01:50:59 +02:00
|
|
|
if (base == 0) return 0;
|
2008-06-09 04:29:00 +02:00
|
|
|
|
2012-07-26 19:33:04 +02:00
|
|
|
// Use the part select width already calculated by test_width().
|
|
|
|
|
unsigned long wid = min_width_;
|
2008-06-08 16:34:45 +02:00
|
|
|
|
2008-06-09 04:29:00 +02:00
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": debug: Calculate part select "
|
|
|
|
|
<< "[" << *base << "+:" << wid << "] from range "
|
|
|
|
|
<< "[" << par_msv << ":" << par_lsv << "]." << endl;
|
|
|
|
|
|
2013-07-06 01:07:19 +02:00
|
|
|
perm_string name = peek_tail_name(path_);
|
|
|
|
|
|
2008-06-09 04:29:00 +02:00
|
|
|
// Handle the special case that the base is constant. In this
|
|
|
|
|
// case, just precalculate the entire constant result.
|
|
|
|
|
if (NetEConst*base_c = dynamic_cast<NetEConst*> (base)) {
|
2009-08-29 01:50:59 +02:00
|
|
|
if (! base_c->value().is_defined()) {
|
|
|
|
|
NetEConst *ex;
|
|
|
|
|
ex = new NetEConst(verinum(verinum::Vx, wid, true));
|
|
|
|
|
ex->set_line(*this);
|
|
|
|
|
if (warn_ob_select) {
|
|
|
|
|
cerr << get_fileline() << ": warning: " << name
|
|
|
|
|
<< "['bx+:" << wid
|
|
|
|
|
<< "] is always outside vector." << endl;
|
|
|
|
|
}
|
|
|
|
|
return ex;
|
|
|
|
|
}
|
2008-06-09 04:29:00 +02:00
|
|
|
long lsv = base_c->value().as_long();
|
2009-08-29 01:50:59 +02:00
|
|
|
long par_base = par_lsv;
|
2008-06-09 04:29:00 +02:00
|
|
|
|
|
|
|
|
// Watch out for reversed bit numbering. We're making
|
|
|
|
|
// the part select from LSB to MSB.
|
2009-08-29 01:50:59 +02:00
|
|
|
if (par_msv < par_lsv) {
|
|
|
|
|
par_base = lsv;
|
|
|
|
|
lsv = par_lsv - wid + 1;
|
|
|
|
|
}
|
2008-06-09 04:29:00 +02:00
|
|
|
|
2009-08-29 01:50:59 +02:00
|
|
|
if (warn_ob_select) {
|
|
|
|
|
bool defined = true;
|
|
|
|
|
// Check to see if the parameter has a defined range.
|
|
|
|
|
if (par_msb == 0) {
|
|
|
|
|
assert(par_lsb == 0);
|
|
|
|
|
defined = false;
|
|
|
|
|
}
|
|
|
|
|
// Get the parameter values width.
|
|
|
|
|
long pwid = -1;
|
|
|
|
|
if (par_ex->has_width()) pwid = par_ex->expr_width()-1;
|
|
|
|
|
warn_param_ob(par_msv, par_lsv, defined, lsv-par_base, wid,
|
|
|
|
|
pwid, this, name, true);
|
|
|
|
|
}
|
2008-06-09 04:29:00 +02:00
|
|
|
verinum result = param_part_select_bits(par_ex->value(), wid,
|
2009-08-29 01:50:59 +02:00
|
|
|
lsv-par_base);
|
2008-06-09 04:29:00 +02:00
|
|
|
NetEConst*result_ex = new NetEConst(result);
|
|
|
|
|
result_ex->set_line(*this);
|
|
|
|
|
return result_ex;
|
2008-06-08 16:34:45 +02:00
|
|
|
}
|
|
|
|
|
|
2010-10-02 20:02:27 +02:00
|
|
|
base = normalize_variable_base(base, par_msv, par_lsv, wid, true);
|
2009-08-29 01:50:59 +02:00
|
|
|
|
2013-07-06 01:07:19 +02:00
|
|
|
/* Create a parameter reference for the variable select. */
|
|
|
|
|
NetEConstParam*ptmp = new NetEConstParam(found_in, name, par_ex->value());
|
|
|
|
|
NetScope::param_ref_t pref = found_in->find_parameter(name);
|
|
|
|
|
ptmp->set_line((*pref).second);
|
2012-01-02 19:11:56 +01:00
|
|
|
|
2013-07-06 01:07:19 +02:00
|
|
|
NetExpr*tmp = new NetESelect(ptmp, base, wid, IVL_SEL_IDX_UP);
|
2009-08-29 01:50:59 +02:00
|
|
|
tmp->set_line(*this);
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
NetExpr* PEIdent::elaborate_expr_param_idx_do_(Design*des, NetScope*scope,
|
|
|
|
|
const NetExpr*par,
|
2012-01-02 19:11:56 +01:00
|
|
|
NetScope*found_in,
|
2009-08-29 01:50:59 +02:00
|
|
|
const NetExpr*par_msb,
|
2011-03-27 12:08:33 +02:00
|
|
|
const NetExpr*par_lsb,
|
|
|
|
|
bool need_const) const
|
2009-08-29 01:50:59 +02:00
|
|
|
{
|
2010-01-10 04:57:01 +01:00
|
|
|
const NetEConst*par_ex = dynamic_cast<const NetEConst*> (par);
|
|
|
|
|
ivl_assert(*this, par_ex);
|
|
|
|
|
|
2009-08-29 01:50:59 +02:00
|
|
|
long par_msv, par_lsv;
|
|
|
|
|
if(! calculate_param_range_(des, scope, par_msb, par_msv,
|
2010-01-10 04:57:01 +01:00
|
|
|
par_lsb, par_lsv,
|
|
|
|
|
par_ex->value().len())) return 0;
|
2009-08-29 01:50:59 +02:00
|
|
|
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr*base = calculate_up_do_base_(des, scope, need_const);
|
2009-08-29 01:50:59 +02:00
|
|
|
if (base == 0) return 0;
|
|
|
|
|
|
2012-07-26 19:33:04 +02:00
|
|
|
// Use the part select width already calculated by test_width().
|
|
|
|
|
unsigned long wid = min_width_;
|
2009-08-29 01:50:59 +02:00
|
|
|
|
|
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": debug: Calculate part select "
|
|
|
|
|
<< "[" << *base << "-:" << wid << "] from range "
|
|
|
|
|
<< "[" << par_msv << ":" << par_lsv << "]." << endl;
|
|
|
|
|
|
2013-07-06 01:07:19 +02:00
|
|
|
perm_string name = peek_tail_name(path_);
|
|
|
|
|
|
2009-08-29 01:50:59 +02:00
|
|
|
// Handle the special case that the base is constant. In this
|
|
|
|
|
// case, just precalculate the entire constant result.
|
|
|
|
|
if (NetEConst*base_c = dynamic_cast<NetEConst*> (base)) {
|
|
|
|
|
if (! base_c->value().is_defined()) {
|
|
|
|
|
NetEConst *ex;
|
|
|
|
|
ex = new NetEConst(verinum(verinum::Vx, wid, true));
|
|
|
|
|
ex->set_line(*this);
|
|
|
|
|
if (warn_ob_select) {
|
|
|
|
|
cerr << get_fileline() << ": warning: " << name
|
|
|
|
|
<< "['bx-:" << wid
|
|
|
|
|
<< "] is always outside vector." << endl;
|
|
|
|
|
}
|
|
|
|
|
return ex;
|
|
|
|
|
}
|
|
|
|
|
long lsv = base_c->value().as_long();
|
|
|
|
|
long par_base = par_lsv + wid - 1;
|
|
|
|
|
|
|
|
|
|
// Watch out for reversed bit numbering. We're making
|
|
|
|
|
// the part select from LSB to MSB.
|
|
|
|
|
if (par_msv < par_lsv) {
|
|
|
|
|
par_base = lsv;
|
|
|
|
|
lsv = par_lsv;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (warn_ob_select) {
|
|
|
|
|
bool defined = true;
|
|
|
|
|
// Check to see if the parameter has a defined range.
|
|
|
|
|
if (par_msb == 0) {
|
|
|
|
|
assert(par_lsb == 0);
|
|
|
|
|
defined = false;
|
|
|
|
|
}
|
|
|
|
|
// Get the parameter values width.
|
|
|
|
|
long pwid = -1;
|
|
|
|
|
if (par_ex->has_width()) pwid = par_ex->expr_width()-1;
|
|
|
|
|
warn_param_ob(par_msv, par_lsv, defined, lsv-par_base, wid,
|
|
|
|
|
pwid, this, name, false);
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
verinum result = param_part_select_bits(par_ex->value(), wid,
|
|
|
|
|
lsv-par_base);
|
|
|
|
|
NetEConst*result_ex = new NetEConst(result);
|
|
|
|
|
result_ex->set_line(*this);
|
|
|
|
|
return result_ex;
|
|
|
|
|
}
|
|
|
|
|
|
2010-10-02 20:02:27 +02:00
|
|
|
base = normalize_variable_base(base, par_msv, par_lsv, wid, false);
|
2008-06-08 16:34:45 +02:00
|
|
|
|
2013-07-06 01:07:19 +02:00
|
|
|
/* Create a parameter reference for the variable select. */
|
|
|
|
|
NetEConstParam*ptmp = new NetEConstParam(found_in, name, par_ex->value());
|
|
|
|
|
NetScope::param_ref_t pref = found_in->find_parameter(name);
|
|
|
|
|
ptmp->set_line((*pref).second);
|
2012-01-02 19:11:56 +01:00
|
|
|
|
2013-07-06 01:07:19 +02:00
|
|
|
NetExpr*tmp = new NetESelect(ptmp, base, wid, IVL_SEL_IDX_DOWN);
|
2008-06-09 04:29:00 +02:00
|
|
|
tmp->set_line(*this);
|
|
|
|
|
return tmp;
|
2008-06-08 16:34:45 +02:00
|
|
|
}
|
|
|
|
|
|
2005-11-27 06:56:20 +01:00
|
|
|
/*
|
|
|
|
|
* Handle the case that the identifier is a parameter reference. The
|
|
|
|
|
* parameter expression has already been located for us (as the par
|
|
|
|
|
* argument) so we just need to process the sub-expression.
|
|
|
|
|
*/
|
2008-09-21 04:23:54 +02:00
|
|
|
NetExpr* PEIdent::elaborate_expr_param_(Design*des,
|
|
|
|
|
NetScope*scope,
|
|
|
|
|
const NetExpr*par,
|
|
|
|
|
NetScope*found_in,
|
|
|
|
|
const NetExpr*par_msb,
|
|
|
|
|
const NetExpr*par_lsb,
|
2011-03-27 12:08:33 +02:00
|
|
|
unsigned expr_wid, unsigned flags) const
|
2005-10-04 06:09:25 +02:00
|
|
|
{
|
2011-03-27 12:08:33 +02:00
|
|
|
bool need_const = NEED_CONST & flags;
|
|
|
|
|
|
2012-05-07 00:11:26 +02:00
|
|
|
if (need_const && !(ANNOTATABLE & flags)) {
|
|
|
|
|
perm_string name = peek_tail_name(path_);
|
|
|
|
|
if (found_in->make_parameter_unannotatable(name)) {
|
|
|
|
|
cerr << get_fileline() << ": warning: specparam '" << name
|
|
|
|
|
<< "' is being used in a constant expression." << endl;
|
|
|
|
|
cerr << get_fileline() << ": : This will prevent it "
|
|
|
|
|
"being annotated at run time." << endl;
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
2007-05-24 06:07:11 +02:00
|
|
|
const name_component_t&name_tail = path_.back();
|
|
|
|
|
index_component_t::ctype_t use_sel = index_component_t::SEL_NONE;
|
|
|
|
|
if (!name_tail.index.empty())
|
|
|
|
|
use_sel = name_tail.index.back().sel;
|
2005-10-04 06:09:25 +02:00
|
|
|
|
2010-10-02 20:02:27 +02:00
|
|
|
if (par->expr_type() == IVL_VT_REAL &&
|
2009-04-02 03:31:29 +02:00
|
|
|
use_sel != index_component_t::SEL_NONE) {
|
2009-04-02 20:05:09 +02:00
|
|
|
perm_string name = peek_tail_name(path_);
|
2009-04-02 03:31:29 +02:00
|
|
|
cerr << get_fileline() << ": error: "
|
2009-04-02 20:05:09 +02:00
|
|
|
<< "can not select part of real parameter: " << name << endl;
|
2009-04-02 03:31:29 +02:00
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2014-07-30 05:41:03 +02:00
|
|
|
ivl_assert(*this, use_sel != index_component_t::SEL_BIT_LAST);
|
|
|
|
|
|
2013-07-06 01:07:19 +02:00
|
|
|
if (use_sel == index_component_t::SEL_BIT)
|
|
|
|
|
return elaborate_expr_param_bit_(des, scope, par, found_in,
|
|
|
|
|
par_msb, par_lsb, need_const);
|
|
|
|
|
|
2008-06-08 16:34:45 +02:00
|
|
|
if (use_sel == index_component_t::SEL_PART)
|
|
|
|
|
return elaborate_expr_param_part_(des, scope, par, found_in,
|
2011-02-26 23:59:52 +01:00
|
|
|
par_msb, par_lsb, expr_wid);
|
2005-10-04 06:09:25 +02:00
|
|
|
|
2008-06-09 04:29:00 +02:00
|
|
|
if (use_sel == index_component_t::SEL_IDX_UP)
|
|
|
|
|
return elaborate_expr_param_idx_up_(des, scope, par, found_in,
|
2011-03-27 12:08:33 +02:00
|
|
|
par_msb, par_lsb, need_const);
|
2008-06-09 04:29:00 +02:00
|
|
|
|
2009-08-29 01:50:59 +02:00
|
|
|
if (use_sel == index_component_t::SEL_IDX_DO)
|
|
|
|
|
return elaborate_expr_param_idx_do_(des, scope, par, found_in,
|
2011-03-27 12:08:33 +02:00
|
|
|
par_msb, par_lsb, need_const);
|
2009-08-29 01:50:59 +02:00
|
|
|
|
2013-07-06 01:07:19 +02:00
|
|
|
NetExpr*tmp = 0;
|
2012-01-02 19:11:56 +01:00
|
|
|
|
2013-07-06 01:07:19 +02:00
|
|
|
const NetEConstEnum*etmp = dynamic_cast<const NetEConstEnum*>(par);
|
|
|
|
|
if (etmp) {
|
2010-11-03 04:16:42 +01:00
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": debug: "
|
|
|
|
|
<< "Elaborate parameter <" << path_
|
2011-02-26 23:59:52 +01:00
|
|
|
<< "> as enumeration constant." << *etmp << endl;
|
2010-11-03 04:16:42 +01:00
|
|
|
tmp = etmp->dup_expr();
|
2011-02-26 23:59:52 +01:00
|
|
|
tmp = pad_to_width(tmp, expr_wid, *this);
|
2010-11-03 04:16:42 +01:00
|
|
|
|
2005-10-04 06:09:25 +02:00
|
|
|
} else {
|
2013-07-06 01:07:19 +02:00
|
|
|
perm_string name = peek_tail_name(path_);
|
2011-03-03 05:23:02 +01:00
|
|
|
|
2005-10-04 06:09:25 +02:00
|
|
|
/* No bit or part select. Make the constant into a
|
2011-02-26 23:59:52 +01:00
|
|
|
NetEConstParam or NetECRealParam as appropriate. */
|
2013-07-06 01:07:19 +02:00
|
|
|
const NetEConst*ctmp = dynamic_cast<const NetEConst*>(par);
|
|
|
|
|
if (ctmp) {
|
2013-10-13 23:14:30 +02:00
|
|
|
verinum cvalue = ctmp->value();
|
|
|
|
|
if (cvalue.has_len())
|
|
|
|
|
cvalue.has_sign(signed_flag_);
|
|
|
|
|
cvalue = cast_to_width(cvalue, expr_wid);
|
2013-07-06 01:07:19 +02:00
|
|
|
tmp = new NetEConstParam(found_in, name, cvalue);
|
2013-10-13 23:14:30 +02:00
|
|
|
tmp->cast_signed(signed_flag_);
|
2013-07-06 01:07:19 +02:00
|
|
|
tmp->set_line(*par);
|
2008-09-21 04:23:54 +02:00
|
|
|
|
2008-01-23 05:16:43 +01:00
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": debug: "
|
|
|
|
|
<< "Elaborate parameter <" << name
|
2013-07-06 01:07:19 +02:00
|
|
|
<< "> as constant " << *tmp << endl;
|
2005-10-04 06:09:25 +02:00
|
|
|
}
|
2009-01-16 20:03:03 +01:00
|
|
|
|
2013-07-06 01:07:19 +02:00
|
|
|
const NetECReal*rtmp = dynamic_cast<const NetECReal*>(par);
|
|
|
|
|
if (rtmp) {
|
|
|
|
|
tmp = new NetECRealParam(found_in, name, rtmp->value());
|
|
|
|
|
tmp->set_line(*par);
|
2009-01-16 20:03:03 +01:00
|
|
|
|
|
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": debug: "
|
|
|
|
|
<< "Elaborate parameter <" << name
|
2013-07-06 01:07:19 +02:00
|
|
|
<< "> as constant " << *tmp << endl;
|
2009-01-16 20:03:03 +01:00
|
|
|
}
|
2013-07-06 01:07:19 +02:00
|
|
|
/* The numeric parameter value needs to have the file and line
|
|
|
|
|
* information for the actual parameter not the expression. */
|
|
|
|
|
assert(tmp);
|
|
|
|
|
NetScope::param_ref_t pref = found_in->find_parameter(name);
|
|
|
|
|
tmp->set_line((*pref).second);
|
2005-10-04 06:09:25 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2007-01-16 06:44:14 +01:00
|
|
|
/*
|
|
|
|
|
* Handle word selects of vector arrays.
|
|
|
|
|
*/
|
|
|
|
|
NetExpr* PEIdent::elaborate_expr_net_word_(Design*des, NetScope*scope,
|
|
|
|
|
NetNet*net, NetScope*found_in,
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned expr_wid,
|
2011-03-27 12:08:33 +02:00
|
|
|
unsigned flags) const
|
2007-01-16 06:44:14 +01:00
|
|
|
{
|
2011-03-27 12:08:33 +02:00
|
|
|
bool need_const = NEED_CONST & flags;
|
|
|
|
|
|
2007-05-24 06:07:11 +02:00
|
|
|
const name_component_t&name_tail = path_.back();
|
|
|
|
|
|
2012-05-26 00:58:29 +02:00
|
|
|
// Special case: This is the entire array, and we are a direct
|
|
|
|
|
// argument of a system task.
|
|
|
|
|
if (name_tail.index.empty() && (SYS_TASK_ARG & flags)) {
|
|
|
|
|
NetESignal*res = new NetESignal(net, 0);
|
|
|
|
|
res->set_line(*this);
|
|
|
|
|
return res;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (name_tail.index.empty()) {
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": error: Array " << path()
|
2014-03-23 04:50:47 +01:00
|
|
|
<< " needs an array index here." << endl;
|
2007-03-02 02:55:36 +01:00
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2012-05-26 00:58:29 +02:00
|
|
|
// Make sure there are enough indices to address an array element.
|
|
|
|
|
if (name_tail.index.size() < net->unpacked_dimensions()) {
|
|
|
|
|
cerr << get_fileline() << ": error: Array " << path()
|
|
|
|
|
<< " needs " << net->unpacked_dimensions() << " indices,"
|
|
|
|
|
<< " but got only " << name_tail.index.size() << "." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
2007-05-24 06:07:11 +02:00
|
|
|
}
|
|
|
|
|
|
2012-05-26 00:58:29 +02:00
|
|
|
// Evaluate all the index expressions into an
|
|
|
|
|
// "unpacked_indices" array.
|
|
|
|
|
list<NetExpr*>unpacked_indices;
|
|
|
|
|
list<long> unpacked_indices_const;
|
2013-05-18 20:21:37 +02:00
|
|
|
indices_flags idx_flags;
|
|
|
|
|
indices_to_expressions(des, scope, this,
|
|
|
|
|
name_tail.index, net->unpacked_dimensions(),
|
2014-01-15 23:26:53 +01:00
|
|
|
need_const, net->unpacked_count(),
|
2013-05-18 20:21:37 +02:00
|
|
|
idx_flags,
|
|
|
|
|
unpacked_indices,
|
|
|
|
|
unpacked_indices_const);
|
2011-03-27 12:08:33 +02:00
|
|
|
|
2012-05-26 00:58:29 +02:00
|
|
|
NetExpr*canon_index = 0;
|
2013-05-18 20:21:37 +02:00
|
|
|
if (idx_flags.invalid) {
|
|
|
|
|
// Nothing to do.
|
|
|
|
|
|
|
|
|
|
} else if (idx_flags.undefined) {
|
|
|
|
|
cerr << get_fileline() << ": warning: "
|
|
|
|
|
<< "returning 'bx for undefined array access "
|
|
|
|
|
<< net->name() << as_indices(unpacked_indices)
|
|
|
|
|
<< "." << endl;
|
|
|
|
|
|
|
|
|
|
} else if (idx_flags.variable) {
|
|
|
|
|
ivl_assert(*this, unpacked_indices.size() == net->unpacked_dimensions());
|
|
|
|
|
canon_index = normalize_variable_unpacked(net, unpacked_indices);
|
|
|
|
|
|
|
|
|
|
} else {
|
2012-05-26 00:58:29 +02:00
|
|
|
ivl_assert(*this, unpacked_indices_const.size() == net->unpacked_dimensions());
|
|
|
|
|
canon_index = normalize_variable_unpacked(net, unpacked_indices_const);
|
2007-01-16 06:44:14 +01:00
|
|
|
|
2012-05-26 00:58:29 +02:00
|
|
|
if (canon_index == 0) {
|
|
|
|
|
cerr << get_fileline() << ": warning: "
|
|
|
|
|
<< "returning 'bx for out of bounds array access "
|
2013-05-18 20:21:37 +02:00
|
|
|
<< net->name() << as_indices(unpacked_indices_const)
|
|
|
|
|
<< "." << endl;
|
2007-01-16 06:44:14 +01:00
|
|
|
}
|
2012-05-26 00:58:29 +02:00
|
|
|
}
|
2007-07-22 02:19:24 +02:00
|
|
|
|
2012-05-26 00:58:29 +02:00
|
|
|
if (canon_index == 0) {
|
|
|
|
|
NetEConst*xxx = make_const_x(net->vector_width());
|
|
|
|
|
xxx->set_line(*this);
|
|
|
|
|
return xxx;
|
2007-01-16 06:44:14 +01:00
|
|
|
}
|
2013-06-27 06:24:19 +02:00
|
|
|
canon_index->set_line(*this);
|
2007-01-16 06:44:14 +01:00
|
|
|
|
2012-05-26 00:58:29 +02:00
|
|
|
NetESignal*res = new NetESignal(net, canon_index);
|
2007-01-16 06:44:14 +01:00
|
|
|
res->set_line(*this);
|
|
|
|
|
|
2007-07-06 21:46:32 +02:00
|
|
|
// Detect that the word has a bit/part select as well.
|
2007-05-24 06:07:11 +02:00
|
|
|
|
|
|
|
|
index_component_t::ctype_t word_sel = index_component_t::SEL_NONE;
|
2012-05-26 00:58:29 +02:00
|
|
|
if (name_tail.index.size() > net->unpacked_dimensions())
|
2007-05-24 06:07:11 +02:00
|
|
|
word_sel = name_tail.index.back().sel;
|
|
|
|
|
|
2009-04-15 01:08:27 +02:00
|
|
|
if (net->get_scalar() &&
|
2009-04-02 03:31:29 +02:00
|
|
|
word_sel != index_component_t::SEL_NONE) {
|
2009-04-15 01:08:27 +02:00
|
|
|
cerr << get_fileline() << ": error: can not select part of ";
|
|
|
|
|
if (res->expr_type() == IVL_VT_REAL) cerr << "real";
|
|
|
|
|
else cerr << "scalar";
|
|
|
|
|
cerr << " array word: " << net->name()
|
2012-05-26 00:58:29 +02:00
|
|
|
<< as_indices(unpacked_indices) << endl;
|
2009-04-02 03:31:29 +02:00
|
|
|
des->errors += 1;
|
2009-04-02 20:05:09 +02:00
|
|
|
delete res;
|
2009-04-02 03:31:29 +02:00
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2007-05-24 06:07:11 +02:00
|
|
|
if (word_sel == index_component_t::SEL_PART)
|
2011-03-27 12:08:33 +02:00
|
|
|
return elaborate_expr_net_part_(des, scope, res, found_in,
|
|
|
|
|
expr_wid);
|
2007-01-16 06:44:14 +01:00
|
|
|
|
2007-05-24 06:07:11 +02:00
|
|
|
if (word_sel == index_component_t::SEL_IDX_UP)
|
2011-03-27 12:08:33 +02:00
|
|
|
return elaborate_expr_net_idx_up_(des, scope, res, found_in,
|
|
|
|
|
need_const);
|
2007-01-16 06:44:14 +01:00
|
|
|
|
2007-05-24 06:07:11 +02:00
|
|
|
if (word_sel == index_component_t::SEL_IDX_DO)
|
2011-03-27 12:08:33 +02:00
|
|
|
return elaborate_expr_net_idx_do_(des, scope, res, found_in,
|
|
|
|
|
need_const);
|
2007-01-16 06:44:14 +01:00
|
|
|
|
2007-07-04 05:17:43 +02:00
|
|
|
if (word_sel == index_component_t::SEL_BIT)
|
2011-03-27 12:08:33 +02:00
|
|
|
return elaborate_expr_net_bit_(des, scope, res, found_in,
|
|
|
|
|
need_const);
|
2007-07-04 05:17:43 +02:00
|
|
|
|
2007-05-24 06:07:11 +02:00
|
|
|
ivl_assert(*this, word_sel == index_component_t::SEL_NONE);
|
2009-07-04 00:37:44 +02:00
|
|
|
|
2007-01-16 06:44:14 +01:00
|
|
|
return res;
|
|
|
|
|
}
|
|
|
|
|
|
2005-11-10 14:28:11 +01:00
|
|
|
/*
|
|
|
|
|
* Handle part selects of NetNet identifiers.
|
|
|
|
|
*/
|
|
|
|
|
NetExpr* PEIdent::elaborate_expr_net_part_(Design*des, NetScope*scope,
|
2011-02-26 23:59:52 +01:00
|
|
|
NetESignal*net, NetScope*,
|
|
|
|
|
unsigned expr_wid) const
|
2005-11-10 14:28:11 +01:00
|
|
|
{
|
2012-02-11 02:17:59 +01:00
|
|
|
list<long> prefix_indices;
|
|
|
|
|
bool rc = calculate_packed_indices_(des, scope, net->sig(), prefix_indices);
|
2015-04-25 23:57:14 +02:00
|
|
|
if (!rc)
|
|
|
|
|
return 0;
|
2012-02-11 02:17:59 +01:00
|
|
|
|
2006-11-04 07:19:24 +01:00
|
|
|
long msv, lsv;
|
2009-01-02 01:20:41 +01:00
|
|
|
bool parts_defined_flag;
|
|
|
|
|
bool flag = calculate_parts_(des, scope, msv, lsv, parts_defined_flag);
|
2006-11-04 07:19:24 +01:00
|
|
|
if (!flag)
|
2005-11-10 14:28:11 +01:00
|
|
|
return 0;
|
|
|
|
|
|
|
|
|
|
/* The indices of part selects are signed integers, so allow
|
|
|
|
|
negative values. However, the width that they represent is
|
|
|
|
|
unsigned. Remember that any order is possible,
|
|
|
|
|
i.e., [1:0], [-4:6], etc. */
|
2008-06-07 07:05:17 +02:00
|
|
|
unsigned long wid = 1 + labs(msv-lsv);
|
2009-01-02 01:20:41 +01:00
|
|
|
/* But wait... if the part select expressions are not fully
|
|
|
|
|
defined, then fall back on the tested width. */
|
|
|
|
|
if (!parts_defined_flag) {
|
2010-01-10 04:57:01 +01:00
|
|
|
if (warn_ob_select) {
|
|
|
|
|
const index_component_t&psel = path_.back().index.back();
|
|
|
|
|
cerr << get_fileline() << ": warning: "
|
|
|
|
|
"Undefined part select [" << *(psel.msb) << ":"
|
|
|
|
|
<< *(psel.lsb) << "] for ";
|
|
|
|
|
if (net->word_index()) cerr << "array word";
|
|
|
|
|
else cerr << "vector";
|
|
|
|
|
cerr << " '" << net->name();
|
|
|
|
|
if (net->word_index()) cerr << "[]";
|
|
|
|
|
cerr << "'." << endl;
|
|
|
|
|
cerr << get_fileline() << ": : "
|
|
|
|
|
"Replacing select with a constant 'bx." << endl;
|
|
|
|
|
}
|
2010-10-02 20:02:27 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
NetEConst*tmp = new NetEConst(verinum(verinum::Vx, expr_wid, true));
|
2009-01-02 01:20:41 +01:00
|
|
|
tmp->set_line(*this);
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
2013-02-14 04:50:05 +01:00
|
|
|
long sb_lsb, sb_msb;
|
|
|
|
|
if (prefix_indices.size()+1 < net->sig()->packed_dims().size()) {
|
|
|
|
|
// Here we have a slice that doesn't have enough indices
|
|
|
|
|
// to get to a single slice. For example:
|
|
|
|
|
// wire [9:0][5:1] foo
|
|
|
|
|
// ... foo[4:3] ...
|
|
|
|
|
// Make this work by finding the indexed slices and
|
|
|
|
|
// creating a generated slice that spans the whole
|
|
|
|
|
// range.
|
|
|
|
|
long loff, moff;
|
|
|
|
|
unsigned long lwid, mwid;
|
|
|
|
|
bool lrc;
|
|
|
|
|
lrc = net->sig()->sb_to_slice(prefix_indices, lsv, loff, lwid);
|
2013-04-18 02:12:17 +02:00
|
|
|
ivl_assert(*this, lrc);
|
2013-02-14 04:50:05 +01:00
|
|
|
lrc = net->sig()->sb_to_slice(prefix_indices, msv, moff, mwid);
|
2013-04-18 02:12:17 +02:00
|
|
|
ivl_assert(*this, lrc);
|
2013-07-28 22:23:17 +02:00
|
|
|
ivl_assert(*this, lwid == mwid);
|
2013-02-14 04:50:05 +01:00
|
|
|
|
|
|
|
|
if (moff > loff) {
|
|
|
|
|
sb_lsb = loff;
|
|
|
|
|
sb_msb = moff + mwid - 1;
|
|
|
|
|
} else {
|
|
|
|
|
sb_lsb = moff;
|
|
|
|
|
sb_msb = loff + lwid - 1;
|
|
|
|
|
}
|
2013-07-28 22:23:17 +02:00
|
|
|
wid = sb_msb - sb_lsb + 1;
|
2013-02-14 04:50:05 +01:00
|
|
|
} else {
|
|
|
|
|
// This case, the prefix indices are enough to index
|
|
|
|
|
// down to a single bit/slice.
|
|
|
|
|
ivl_assert(*this, prefix_indices.size()+1 == net->sig()->packed_dims().size());
|
|
|
|
|
sb_lsb = net->sig()->sb_to_idx(prefix_indices, lsv);
|
|
|
|
|
sb_msb = net->sig()->sb_to_idx(prefix_indices, msv);
|
|
|
|
|
}
|
2010-01-10 04:57:01 +01:00
|
|
|
|
|
|
|
|
if (sb_msb < sb_lsb) {
|
|
|
|
|
cerr << get_fileline() << ": error: part select " << net->name();
|
|
|
|
|
if (net->word_index()) cerr << "[]";
|
|
|
|
|
cerr << "[" << msv << ":" << lsv << "] is out of order." << endl;
|
2005-11-10 14:28:11 +01:00
|
|
|
des->errors += 1;
|
2006-11-04 07:19:24 +01:00
|
|
|
//delete lsn;
|
|
|
|
|
//delete msn;
|
2007-01-16 06:44:14 +01:00
|
|
|
return net;
|
2005-11-10 14:28:11 +01:00
|
|
|
}
|
|
|
|
|
|
2010-01-10 04:57:01 +01:00
|
|
|
if (warn_ob_select) {
|
|
|
|
|
if ((sb_lsb >= (signed) net->vector_width()) ||
|
|
|
|
|
(sb_msb >= (signed) net->vector_width())) {
|
|
|
|
|
cerr << get_fileline() << ": warning: "
|
|
|
|
|
"Part select " << "[" << msv << ":" << lsv
|
|
|
|
|
<< "] is selecting after the ";
|
|
|
|
|
if (net->word_index()) cerr << "array word ";
|
|
|
|
|
else cerr << "vector ";
|
|
|
|
|
cerr << net->name();
|
|
|
|
|
if (net->word_index()) cerr << "[]";
|
|
|
|
|
cerr << "[" << net->msi() << ":" << net->lsi() << "]."
|
|
|
|
|
<< endl;
|
|
|
|
|
cerr << get_fileline() << ": : "
|
|
|
|
|
<< "Replacing the out of bound bits with 'bx." << endl;
|
|
|
|
|
}
|
|
|
|
|
if ((sb_msb < 0) || (sb_lsb < 0)) {
|
|
|
|
|
cerr << get_fileline() << ": warning: "
|
|
|
|
|
"Part select " << "[" << msv << ":" << lsv
|
|
|
|
|
<< "] is selecting before the ";
|
|
|
|
|
if (net->word_index()) cerr << "array word ";
|
|
|
|
|
else cerr << "vector ";
|
|
|
|
|
cerr << net->name();
|
|
|
|
|
if (net->word_index()) cerr << "[]";
|
|
|
|
|
cerr << "[" << net->msi() << ":" << net->lsi() << "]."
|
|
|
|
|
<< endl;
|
|
|
|
|
cerr << get_fileline() << ": : "
|
|
|
|
|
"Replacing the out of bound bits with 'bx." << endl;
|
|
|
|
|
}
|
|
|
|
|
}
|
2005-11-10 14:28:11 +01:00
|
|
|
|
2008-01-29 21:19:59 +01:00
|
|
|
// If the part select covers exactly the entire
|
2005-11-10 14:28:11 +01:00
|
|
|
// vector, then do not bother with it. Return the
|
2008-06-13 06:56:46 +02:00
|
|
|
// signal itself, casting to unsigned if necessary.
|
|
|
|
|
if (sb_lsb == 0 && wid == net->vector_width()) {
|
|
|
|
|
net->cast_signed(false);
|
2007-01-16 06:44:14 +01:00
|
|
|
return net;
|
2008-06-13 06:56:46 +02:00
|
|
|
}
|
2005-11-10 14:28:11 +01:00
|
|
|
|
2008-06-07 07:05:17 +02:00
|
|
|
// If the part select covers NONE of the vector, then return a
|
|
|
|
|
// constant X.
|
2005-11-10 14:28:11 +01:00
|
|
|
|
2008-06-07 07:05:17 +02:00
|
|
|
if ((sb_lsb >= (signed) net->vector_width()) || (sb_msb < 0)) {
|
|
|
|
|
NetEConst*tmp = make_const_x(wid);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2008-06-13 06:41:11 +02:00
|
|
|
NetExpr*ex = new NetEConst(verinum(sb_lsb));
|
|
|
|
|
NetESelect*ss = new NetESelect(net, ex, wid);
|
|
|
|
|
ss->set_line(*this);
|
|
|
|
|
return ss;
|
2005-11-10 14:28:11 +01:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
/*
|
|
|
|
|
* Part select indexed up, i.e. net[<m> +: <l>]
|
|
|
|
|
*/
|
|
|
|
|
NetExpr* PEIdent::elaborate_expr_net_idx_up_(Design*des, NetScope*scope,
|
2011-03-27 12:08:33 +02:00
|
|
|
NetESignal*net, NetScope*,
|
|
|
|
|
bool need_const) const
|
2005-11-10 14:28:11 +01:00
|
|
|
{
|
2012-02-11 02:17:59 +01:00
|
|
|
list<long>prefix_indices;
|
|
|
|
|
bool rc = calculate_packed_indices_(des, scope, net->sig(), prefix_indices);
|
2015-04-25 23:57:14 +02:00
|
|
|
if (!rc)
|
|
|
|
|
return 0;
|
2012-02-11 02:17:59 +01:00
|
|
|
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr*base = calculate_up_do_base_(des, scope, need_const);
|
2005-11-10 14:28:11 +01:00
|
|
|
|
2012-07-26 19:33:04 +02:00
|
|
|
// Use the part select width already calculated by test_width().
|
|
|
|
|
unsigned long wid = min_width_;
|
2005-11-10 14:28:11 +01:00
|
|
|
|
|
|
|
|
// Handle the special case that the base is constant as
|
|
|
|
|
// well. In this case it can be converted to a conventional
|
|
|
|
|
// part select.
|
|
|
|
|
if (NetEConst*base_c = dynamic_cast<NetEConst*> (base)) {
|
2008-12-02 04:21:47 +01:00
|
|
|
NetExpr*ex;
|
|
|
|
|
if (base_c->value().is_defined()) {
|
|
|
|
|
long lsv = base_c->value().as_long();
|
2012-02-12 21:03:43 +01:00
|
|
|
long offset = 0;
|
|
|
|
|
// Get the signal range.
|
2012-09-30 00:13:45 +02:00
|
|
|
const vector<netrange_t>&packed = net->sig()->packed_dims();
|
2012-02-12 21:03:43 +01:00
|
|
|
ivl_assert(*this, packed.size() == prefix_indices.size()+1);
|
|
|
|
|
|
|
|
|
|
// We want the last range, which is where we work.
|
2012-03-26 02:59:05 +02:00
|
|
|
const netrange_t&rng = packed.back();
|
2012-07-14 03:41:41 +02:00
|
|
|
if (rng.get_msb() < rng.get_lsb()) {
|
2012-02-12 21:03:43 +01:00
|
|
|
offset = -wid + 1;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
long rel_base = net->sig()->sb_to_idx(prefix_indices, lsv);
|
2008-12-02 04:21:47 +01:00
|
|
|
|
|
|
|
|
// If the part select covers exactly the entire
|
|
|
|
|
// vector, then do not bother with it. Return the
|
|
|
|
|
// signal itself.
|
2012-02-12 21:03:43 +01:00
|
|
|
if (rel_base == 0 && wid == net->vector_width()) {
|
2008-12-02 04:21:47 +01:00
|
|
|
delete base;
|
2009-08-29 01:50:59 +02:00
|
|
|
net->cast_signed(false);
|
2008-12-02 04:21:47 +01:00
|
|
|
return net;
|
|
|
|
|
}
|
2007-04-01 07:28:26 +02:00
|
|
|
|
2008-12-02 04:21:47 +01:00
|
|
|
// Otherwise, make a part select that covers the right
|
|
|
|
|
// range.
|
2012-02-12 21:03:43 +01:00
|
|
|
ex = new NetEConst(verinum(rel_base + offset));
|
2009-08-29 01:50:59 +02:00
|
|
|
if (warn_ob_select) {
|
|
|
|
|
if (rel_base < 0) {
|
|
|
|
|
cerr << get_fileline() << ": warning: "
|
|
|
|
|
<< net->name();
|
|
|
|
|
if (net->word_index()) cerr << "[]";
|
|
|
|
|
cerr << "[" << lsv << "+:" << wid
|
|
|
|
|
<< "] is selecting before vector." << endl;
|
|
|
|
|
}
|
|
|
|
|
if (rel_base + wid > net->vector_width()) {
|
|
|
|
|
cerr << get_fileline() << ": warning: "
|
|
|
|
|
<< net->name();
|
|
|
|
|
if (net->word_index()) cerr << "[]";
|
|
|
|
|
cerr << "[" << lsv << "+:" << wid
|
|
|
|
|
<< "] is selecting after vector." << endl;
|
|
|
|
|
}
|
|
|
|
|
}
|
2008-12-02 04:21:47 +01:00
|
|
|
} else {
|
|
|
|
|
// Return 'bx for an undefined base.
|
2009-08-29 01:50:59 +02:00
|
|
|
ex = new NetEConst(verinum(verinum::Vx, wid, true));
|
|
|
|
|
ex->set_line(*this);
|
|
|
|
|
delete base;
|
|
|
|
|
if (warn_ob_select) {
|
|
|
|
|
cerr << get_fileline() << ": warning: " << net->name();
|
|
|
|
|
if (net->word_index()) cerr << "[]";
|
|
|
|
|
cerr << "['bx+:" << wid
|
|
|
|
|
<< "] is always outside vector." << endl;
|
|
|
|
|
}
|
|
|
|
|
return ex;
|
2008-12-02 04:21:47 +01:00
|
|
|
}
|
2007-04-01 07:28:26 +02:00
|
|
|
NetESelect*ss = new NetESelect(net, ex, wid);
|
|
|
|
|
ss->set_line(*this);
|
|
|
|
|
|
|
|
|
|
delete base;
|
|
|
|
|
return ss;
|
2005-11-10 14:28:11 +01:00
|
|
|
}
|
|
|
|
|
|
2012-02-12 20:16:31 +01:00
|
|
|
|
2012-02-12 23:52:47 +01:00
|
|
|
ivl_assert(*this, prefix_indices.size()+1 == net->sig()->packed_dims().size());
|
|
|
|
|
|
|
|
|
|
// Convert the non-constant part select index expression into
|
|
|
|
|
// an expression that returns a canonical base.
|
|
|
|
|
base = normalize_variable_part_base(prefix_indices, base, net->sig(), wid, true);
|
2007-04-01 07:28:26 +02:00
|
|
|
|
2011-02-23 18:15:36 +01:00
|
|
|
NetESelect*ss = new NetESelect(net, base, wid, IVL_SEL_IDX_UP);
|
2005-11-10 14:28:11 +01:00
|
|
|
ss->set_line(*this);
|
|
|
|
|
|
|
|
|
|
if (debug_elaborate) {
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": debug: Elaborate part "
|
2005-11-10 14:28:11 +01:00
|
|
|
<< "select base="<< *base << ", wid="<< wid << endl;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
return ss;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
/*
|
2007-07-06 21:46:32 +02:00
|
|
|
* Part select indexed down, i.e. net[<m> -: <l>]
|
2005-11-10 14:28:11 +01:00
|
|
|
*/
|
|
|
|
|
NetExpr* PEIdent::elaborate_expr_net_idx_do_(Design*des, NetScope*scope,
|
2011-03-27 12:08:33 +02:00
|
|
|
NetESignal*net, NetScope*,
|
|
|
|
|
bool need_const) const
|
2005-11-10 14:28:11 +01:00
|
|
|
{
|
2012-02-11 02:17:59 +01:00
|
|
|
list<long>prefix_indices;
|
|
|
|
|
bool rc = calculate_packed_indices_(des, scope, net->sig(), prefix_indices);
|
2015-04-25 23:57:14 +02:00
|
|
|
if (!rc)
|
|
|
|
|
return 0;
|
2012-02-11 02:17:59 +01:00
|
|
|
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr*base = calculate_up_do_base_(des, scope, need_const);
|
2005-11-10 14:28:11 +01:00
|
|
|
|
2012-07-26 19:33:04 +02:00
|
|
|
// Use the part select width already calculated by test_width().
|
|
|
|
|
unsigned long wid = min_width_;
|
2005-11-10 14:28:11 +01:00
|
|
|
|
|
|
|
|
// Handle the special case that the base is constant as
|
|
|
|
|
// well. In this case it can be converted to a conventional
|
|
|
|
|
// part select.
|
|
|
|
|
if (NetEConst*base_c = dynamic_cast<NetEConst*> (base)) {
|
2008-12-02 04:21:47 +01:00
|
|
|
NetExpr*ex;
|
|
|
|
|
if (base_c->value().is_defined()) {
|
|
|
|
|
long lsv = base_c->value().as_long();
|
|
|
|
|
|
|
|
|
|
// If the part select covers exactly the entire
|
|
|
|
|
// vector, then do not bother with it. Return the
|
|
|
|
|
// signal itself.
|
2012-02-11 02:17:59 +01:00
|
|
|
if (net->sig()->sb_to_idx(prefix_indices,lsv) == (signed) (wid-1) &&
|
2008-12-02 04:21:47 +01:00
|
|
|
wid == net->vector_width()) {
|
|
|
|
|
delete base;
|
2009-08-29 01:50:59 +02:00
|
|
|
net->cast_signed(false);
|
2008-12-02 04:21:47 +01:00
|
|
|
return net;
|
|
|
|
|
}
|
2007-04-01 07:28:26 +02:00
|
|
|
|
2009-08-29 01:50:59 +02:00
|
|
|
long offset = 0;
|
|
|
|
|
if (net->msi() > net->lsi()) {
|
|
|
|
|
offset = -wid + 1;
|
|
|
|
|
}
|
2008-12-02 04:21:47 +01:00
|
|
|
// Otherwise, make a part select that covers the right
|
|
|
|
|
// range.
|
2012-02-11 02:17:59 +01:00
|
|
|
ex = new NetEConst(verinum(net->sig()->sb_to_idx(prefix_indices,lsv) + offset));
|
2009-08-29 01:50:59 +02:00
|
|
|
if (warn_ob_select) {
|
2012-02-11 02:17:59 +01:00
|
|
|
long rel_base = net->sig()->sb_to_idx(prefix_indices,lsv) + offset;
|
2009-08-29 01:50:59 +02:00
|
|
|
if (rel_base < 0) {
|
|
|
|
|
cerr << get_fileline() << ": warning: "
|
|
|
|
|
<< net->name();
|
|
|
|
|
if (net->word_index()) cerr << "[]";
|
|
|
|
|
cerr << "[" << lsv << "+:" << wid
|
|
|
|
|
<< "] is selecting before vector." << endl;
|
|
|
|
|
}
|
|
|
|
|
if (rel_base + wid > net->vector_width()) {
|
|
|
|
|
cerr << get_fileline() << ": warning: "
|
|
|
|
|
<< net->name();
|
|
|
|
|
if (net->word_index()) cerr << "[]";
|
|
|
|
|
cerr << "[" << lsv << "-:" << wid
|
|
|
|
|
<< "] is selecting after vector." << endl;
|
|
|
|
|
}
|
|
|
|
|
}
|
2008-12-02 04:21:47 +01:00
|
|
|
} else {
|
|
|
|
|
// Return 'bx for an undefined base.
|
2009-08-29 01:50:59 +02:00
|
|
|
ex = new NetEConst(verinum(verinum::Vx, wid, true));
|
|
|
|
|
ex->set_line(*this);
|
|
|
|
|
delete base;
|
|
|
|
|
if (warn_ob_select) {
|
|
|
|
|
cerr << get_fileline() << ": warning: " << net->name();
|
|
|
|
|
if (net->word_index()) cerr << "[]";
|
|
|
|
|
cerr << "['bx-:" << wid
|
|
|
|
|
<< "] is always outside vector." << endl;
|
|
|
|
|
}
|
|
|
|
|
return ex;
|
2008-12-02 04:21:47 +01:00
|
|
|
}
|
2007-04-01 07:28:26 +02:00
|
|
|
NetESelect*ss = new NetESelect(net, ex, wid);
|
|
|
|
|
ss->set_line(*this);
|
|
|
|
|
|
|
|
|
|
delete base;
|
|
|
|
|
return ss;
|
2005-11-10 14:28:11 +01:00
|
|
|
}
|
|
|
|
|
|
2010-10-02 20:02:27 +02:00
|
|
|
base = normalize_variable_base(base, net->msi(), net->lsi(), wid, false);
|
2009-08-29 01:50:59 +02:00
|
|
|
|
2011-02-23 18:15:36 +01:00
|
|
|
NetESelect*ss = new NetESelect(net, base, wid, IVL_SEL_IDX_DOWN);
|
2005-11-10 14:28:11 +01:00
|
|
|
ss->set_line(*this);
|
|
|
|
|
|
|
|
|
|
if (debug_elaborate) {
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": debug: Elaborate part "
|
2009-08-29 01:50:59 +02:00
|
|
|
<< "select base="<< *base << ", wid="<< wid << endl;
|
2005-11-10 14:28:11 +01:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
return ss;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
NetExpr* PEIdent::elaborate_expr_net_bit_(Design*des, NetScope*scope,
|
2011-03-27 12:08:33 +02:00
|
|
|
NetESignal*net, NetScope*,
|
|
|
|
|
bool need_const) const
|
2005-11-10 14:28:11 +01:00
|
|
|
{
|
2012-02-11 02:17:59 +01:00
|
|
|
list<long>prefix_indices;
|
|
|
|
|
bool rc = calculate_packed_indices_(des, scope, net->sig(), prefix_indices);
|
2015-04-25 23:57:14 +02:00
|
|
|
if (!rc)
|
|
|
|
|
return 0;
|
2012-02-11 02:17:59 +01:00
|
|
|
|
2007-05-24 06:07:11 +02:00
|
|
|
const name_component_t&name_tail = path_.back();
|
|
|
|
|
ivl_assert(*this, !name_tail.index.empty());
|
|
|
|
|
|
|
|
|
|
const index_component_t&index_tail = name_tail.index.back();
|
|
|
|
|
ivl_assert(*this, index_tail.msb != 0);
|
|
|
|
|
ivl_assert(*this, index_tail.lsb == 0);
|
2005-11-10 14:28:11 +01:00
|
|
|
|
2012-02-11 02:17:59 +01:00
|
|
|
NetExpr*mux = elab_and_eval(des, scope, index_tail.msb, -1, need_const);
|
2006-09-20 01:00:15 +02:00
|
|
|
|
2013-04-15 03:03:21 +02:00
|
|
|
if (const netdarray_t*darray = net->sig()->darray_type()) {
|
2012-07-22 02:33:10 +02:00
|
|
|
// Special case: This is a select of a dynamic
|
|
|
|
|
// array. Generate a NetESelect and attach it to
|
|
|
|
|
// the NetESignal. This should be interpreted as
|
|
|
|
|
// an array word select downstream.
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": debug: "
|
|
|
|
|
<< "Bit select of a dynamic array becomes NetESelect." << endl;
|
|
|
|
|
}
|
2012-09-30 19:34:09 +02:00
|
|
|
NetESelect*res = new NetESelect(net, mux, darray->element_width());
|
2012-07-22 02:33:10 +02:00
|
|
|
res->set_line(*net);
|
|
|
|
|
return res;
|
|
|
|
|
}
|
|
|
|
|
|
2005-11-10 14:28:11 +01:00
|
|
|
// If the bit select is constant, then treat it similar
|
|
|
|
|
// to the part select, so that I save the effort of
|
|
|
|
|
// making a mux part in the netlist.
|
2012-02-11 02:17:59 +01:00
|
|
|
if (NetEConst*msc = dynamic_cast<NetEConst*> (mux)) {
|
2009-01-02 01:20:41 +01:00
|
|
|
|
2014-04-06 05:57:22 +02:00
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PEIdent::elaborate_expr_net_bit_: "
|
|
|
|
|
<< "mux is constant=" << *msc
|
|
|
|
|
<< ", packed_dims()=" << net->sig()->packed_dims()
|
|
|
|
|
<< ", packed_dims().size()=" << net->sig()->packed_dims().size()
|
|
|
|
|
<< ", prefix_indices.size()=" << prefix_indices.size()
|
|
|
|
|
<< endl;
|
|
|
|
|
}
|
|
|
|
|
|
2010-01-10 04:57:01 +01:00
|
|
|
// Special case: The bit select expression is constant
|
2009-01-02 01:20:41 +01:00
|
|
|
// x/z. The result of the expression is 1'bx.
|
|
|
|
|
if (! msc->value().is_defined()) {
|
2010-01-10 04:57:01 +01:00
|
|
|
if (warn_ob_select) {
|
|
|
|
|
cerr << get_fileline() << ": warning: "
|
|
|
|
|
"Constant bit select [" << msc->value()
|
|
|
|
|
<< "] is undefined for ";
|
|
|
|
|
if (net->word_index()) cerr << "array word";
|
|
|
|
|
else cerr << "vector";
|
|
|
|
|
cerr << " '" << net->name();
|
|
|
|
|
if (net->word_index()) cerr << "[]";
|
|
|
|
|
cerr << "'." << endl;
|
|
|
|
|
cerr << get_fileline() << ": : "
|
|
|
|
|
<< "Replacing select with a constant 1'bx."
|
|
|
|
|
<< endl;
|
|
|
|
|
}
|
|
|
|
|
|
2014-04-06 05:57:22 +02:00
|
|
|
// FIXME: Should I be using slice_width() here?
|
2009-01-02 01:20:41 +01:00
|
|
|
NetEConst*tmp = make_const_x(1);
|
|
|
|
|
tmp->set_line(*this);
|
2012-02-11 02:17:59 +01:00
|
|
|
delete mux;
|
2009-01-02 01:20:41 +01:00
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2006-09-20 01:00:15 +02:00
|
|
|
long msv = msc->value().as_long();
|
2012-02-13 01:19:58 +01:00
|
|
|
|
2012-09-30 00:13:45 +02:00
|
|
|
const vector<netrange_t>& sig_packed = net->sig()->packed_dims();
|
2012-02-13 01:19:58 +01:00
|
|
|
if (prefix_indices.size()+2 <= sig_packed.size()) {
|
|
|
|
|
// Special case: this is a slice of a multi-dimensional
|
|
|
|
|
// packed array. For example:
|
|
|
|
|
// reg [3:0][7:0] x;
|
|
|
|
|
// ... x[2] ...
|
|
|
|
|
// This shows up as the prefix_indices being too short
|
|
|
|
|
// for the packed dimensions of the vector. What we do
|
|
|
|
|
// here is convert to a "slice" of the vector.
|
|
|
|
|
unsigned long lwid;
|
|
|
|
|
long idx;
|
|
|
|
|
rc = net->sig()->sb_to_slice(prefix_indices, msv, idx, lwid);
|
2012-11-13 03:13:41 +01:00
|
|
|
ivl_assert(*this, rc);
|
2012-02-13 01:19:58 +01:00
|
|
|
|
|
|
|
|
// Make an expression out of the index
|
|
|
|
|
NetEConst*idx_c = new NetEConst(verinum(idx));
|
|
|
|
|
idx_c->set_line(*net);
|
|
|
|
|
|
|
|
|
|
NetESelect*res = new NetESelect(net, idx_c, lwid);
|
|
|
|
|
res->set_line(*net);
|
|
|
|
|
return res;
|
|
|
|
|
}
|
|
|
|
|
|
2012-07-01 02:05:25 +02:00
|
|
|
if (net->sig()->data_type()==IVL_VT_STRING && (msv < 0)) {
|
|
|
|
|
// Special case: This is a constant bit select of
|
|
|
|
|
// a string, and the index is < 0. For example:
|
|
|
|
|
// string foo;
|
|
|
|
|
// ... foo[-1] ...
|
|
|
|
|
// This is known to be 8'h00.
|
|
|
|
|
NetEConst*tmp = make_const_0(8);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
delete mux;
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (net->sig()->data_type()==IVL_VT_STRING) {
|
|
|
|
|
// Special case: This is a select of a string
|
|
|
|
|
// variable. Generate a NetESelect and attach it
|
|
|
|
|
// to the NetESignal. This should be interpreted
|
|
|
|
|
// as a character select downstream.
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": debug: "
|
|
|
|
|
<< "Bit select of string becomes NetESelect." << endl;
|
|
|
|
|
}
|
|
|
|
|
NetESelect*res = new NetESelect(net, mux, 8);
|
|
|
|
|
res->set_line(*net);
|
|
|
|
|
return res;
|
|
|
|
|
}
|
|
|
|
|
|
2012-02-11 02:17:59 +01:00
|
|
|
long idx = net->sig()->sb_to_idx(prefix_indices,msv);
|
2005-11-10 14:28:11 +01:00
|
|
|
|
2010-01-10 04:57:01 +01:00
|
|
|
if (idx >= (long)net->vector_width() || idx < 0) {
|
2005-11-10 14:28:11 +01:00
|
|
|
/* The bit select is out of range of the
|
|
|
|
|
vector. This is legal, but returns a
|
|
|
|
|
constant 1'bx value. */
|
2010-01-10 04:57:01 +01:00
|
|
|
if (warn_ob_select) {
|
|
|
|
|
cerr << get_fileline() << ": warning: "
|
|
|
|
|
"Constant bit select [" << msv
|
|
|
|
|
<< "] is ";
|
|
|
|
|
if (idx < 0) cerr << "before ";
|
|
|
|
|
else cerr << "after ";
|
|
|
|
|
if (net->word_index()) cerr << "array word ";
|
|
|
|
|
else cerr << "vector ";
|
|
|
|
|
cerr << net->name();
|
|
|
|
|
if (net->word_index()) cerr << "[]";
|
2012-02-07 02:47:53 +01:00
|
|
|
cerr << net->sig()->packed_dims() << "." << endl;
|
2010-01-10 04:57:01 +01:00
|
|
|
cerr << get_fileline() << ": : "
|
|
|
|
|
<< "Replacing select with a constant 1'bx."
|
|
|
|
|
<< endl;
|
|
|
|
|
}
|
|
|
|
|
|
2008-06-07 07:05:17 +02:00
|
|
|
NetEConst*tmp = make_const_x(1);
|
2005-11-10 14:28:11 +01:00
|
|
|
tmp->set_line(*this);
|
|
|
|
|
|
2012-02-11 02:17:59 +01:00
|
|
|
delete mux;
|
2005-11-10 14:28:11 +01:00
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// If the vector is only one bit, we are done. The
|
2008-01-29 21:19:59 +01:00
|
|
|
// bit select will return the scalar itself.
|
2005-11-10 14:28:11 +01:00
|
|
|
if (net->vector_width() == 1)
|
2007-01-16 06:44:14 +01:00
|
|
|
return net;
|
2005-11-10 14:28:11 +01:00
|
|
|
|
2014-04-06 05:57:22 +02:00
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PEIdent::elaborate_expr_net_bit_: "
|
|
|
|
|
<< "Make bit select idx=" << idx
|
|
|
|
|
<< endl;
|
|
|
|
|
}
|
|
|
|
|
|
2005-11-10 14:28:11 +01:00
|
|
|
// Make an expression out of the index
|
|
|
|
|
NetEConst*idx_c = new NetEConst(verinum(idx));
|
|
|
|
|
idx_c->set_line(*net);
|
|
|
|
|
|
|
|
|
|
// Make a bit select with the canonical index
|
2007-01-16 06:44:14 +01:00
|
|
|
NetESelect*res = new NetESelect(net, idx_c, 1);
|
2005-11-10 14:28:11 +01:00
|
|
|
res->set_line(*net);
|
|
|
|
|
|
|
|
|
|
return res;
|
|
|
|
|
}
|
|
|
|
|
|
2012-09-30 00:13:45 +02:00
|
|
|
const vector<netrange_t>& sig_packed = net->sig()->packed_dims();
|
2012-02-11 02:17:59 +01:00
|
|
|
if (prefix_indices.size()+2 <= sig_packed.size()) {
|
|
|
|
|
// Special case: this is a slice of a multi-dimensional
|
|
|
|
|
// packed array. For example:
|
|
|
|
|
// reg [3:0][7:0] x;
|
|
|
|
|
// x[2] = ...
|
|
|
|
|
// This shows up as the prefix_indices being too short
|
|
|
|
|
// for the packed dimensions of the vector. What we do
|
|
|
|
|
// here is convert to a "slice" of the vector.
|
|
|
|
|
unsigned long lwid;
|
|
|
|
|
mux = normalize_variable_slice_base(prefix_indices, mux,
|
|
|
|
|
net->sig(), lwid);
|
|
|
|
|
mux->set_line(*net);
|
|
|
|
|
|
|
|
|
|
// Make a PART select with the canonical index
|
|
|
|
|
NetESelect*res = new NetESelect(net, mux, lwid);
|
|
|
|
|
res->set_line(*net);
|
|
|
|
|
|
|
|
|
|
return res;
|
|
|
|
|
}
|
|
|
|
|
|
2014-11-03 16:56:12 +01:00
|
|
|
if (net->sig()->data_type() == IVL_VT_STRING) {
|
|
|
|
|
// Special case: This is a select of a string.
|
|
|
|
|
// This should be interpreted as a byte select.
|
|
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": debug: "
|
|
|
|
|
<< "Bit select of a string becomes NetESelect." << endl;
|
|
|
|
|
}
|
|
|
|
|
NetESelect*res = new NetESelect(net, mux, 8);
|
|
|
|
|
res->set_line(*net);
|
|
|
|
|
return res;
|
|
|
|
|
}
|
|
|
|
|
|
2005-11-10 14:28:11 +01:00
|
|
|
// Non-constant bit select? punt and make a subsignal
|
|
|
|
|
// device to mux the bit in the net. This is a fairly
|
|
|
|
|
// complicated task because we need to generate
|
|
|
|
|
// expressions to convert calculated bit select
|
|
|
|
|
// values to canonical values that are used internally.
|
2012-02-12 19:13:20 +01:00
|
|
|
mux = normalize_variable_bit_base(prefix_indices, mux, net->sig());
|
2005-11-10 14:28:11 +01:00
|
|
|
|
2012-02-11 02:17:59 +01:00
|
|
|
NetESelect*ss = new NetESelect(net, mux, 1);
|
2005-11-10 14:28:11 +01:00
|
|
|
ss->set_line(*this);
|
|
|
|
|
return ss;
|
|
|
|
|
}
|
|
|
|
|
|
2014-08-17 01:11:40 +02:00
|
|
|
NetExpr* PEIdent::elaborate_expr_net_bit_last_(Design*, NetScope*,
|
2014-07-30 05:41:03 +02:00
|
|
|
NetESignal*net,
|
2014-08-17 01:11:40 +02:00
|
|
|
NetScope* /* found_in */,
|
2014-07-30 05:41:03 +02:00
|
|
|
bool need_const) const
|
|
|
|
|
{
|
2014-08-17 01:11:40 +02:00
|
|
|
if (need_const) {
|
|
|
|
|
cerr << get_fileline() << ": error: "
|
|
|
|
|
<< "Expression with \"[$]\" is not constant." << endl;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
unsigned use_width = 1;
|
|
|
|
|
if (const netdarray_t*darray = net->sig()->darray_type()) {
|
|
|
|
|
use_width = darray->element_width();
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
NetELast*mux = new NetELast(net->sig());
|
|
|
|
|
mux->set_line(*this);
|
|
|
|
|
NetESelect*ss = new NetESelect(net, mux, use_width);
|
|
|
|
|
ss->set_line(*this);
|
|
|
|
|
return ss;
|
2014-07-30 05:41:03 +02:00
|
|
|
}
|
|
|
|
|
|
2005-11-10 14:28:11 +01:00
|
|
|
NetExpr* PEIdent::elaborate_expr_net(Design*des, NetScope*scope,
|
2007-01-16 06:44:14 +01:00
|
|
|
NetNet*net, NetScope*found_in,
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned expr_wid,
|
2011-03-27 12:08:33 +02:00
|
|
|
unsigned flags) const
|
2005-11-10 14:28:11 +01:00
|
|
|
{
|
2012-05-26 00:58:29 +02:00
|
|
|
if (net->unpacked_dimensions() > 0)
|
2011-02-26 23:59:52 +01:00
|
|
|
return elaborate_expr_net_word_(des, scope, net, found_in,
|
2011-03-27 12:08:33 +02:00
|
|
|
expr_wid, flags);
|
|
|
|
|
|
|
|
|
|
bool need_const = NEED_CONST & flags;
|
2007-01-16 06:44:14 +01:00
|
|
|
|
|
|
|
|
NetESignal*node = new NetESignal(net);
|
|
|
|
|
node->set_line(*this);
|
|
|
|
|
|
2007-05-24 06:07:11 +02:00
|
|
|
index_component_t::ctype_t use_sel = index_component_t::SEL_NONE;
|
|
|
|
|
if (! path_.back().index.empty())
|
|
|
|
|
use_sel = path_.back().index.back().sel;
|
|
|
|
|
|
2009-04-15 01:08:27 +02:00
|
|
|
if (net->get_scalar() &&
|
2009-04-02 03:31:29 +02:00
|
|
|
use_sel != index_component_t::SEL_NONE) {
|
2009-04-15 01:08:27 +02:00
|
|
|
cerr << get_fileline() << ": error: can not select part of ";
|
|
|
|
|
if (node->expr_type() == IVL_VT_REAL) cerr << "real: ";
|
|
|
|
|
else cerr << "scalar: ";
|
|
|
|
|
cerr << net->name() << endl;
|
2009-04-02 03:31:29 +02:00
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2012-02-11 02:17:59 +01:00
|
|
|
list<long> prefix_indices;
|
|
|
|
|
bool rc = evaluate_index_prefix(des, scope, prefix_indices, path_.back().index);
|
2012-02-12 20:16:31 +01:00
|
|
|
if (!rc) return 0;
|
2012-02-11 02:17:59 +01:00
|
|
|
|
2005-11-10 14:28:11 +01:00
|
|
|
// If this is a part select of a signal, then make a new
|
|
|
|
|
// temporary signal that is connected to just the
|
|
|
|
|
// selected bits. The lsb_ and msb_ expressions are from
|
|
|
|
|
// the foo[msb:lsb] expression in the original.
|
2007-05-24 06:07:11 +02:00
|
|
|
if (use_sel == index_component_t::SEL_PART)
|
2011-02-26 23:59:52 +01:00
|
|
|
return elaborate_expr_net_part_(des, scope, node, found_in,
|
|
|
|
|
expr_wid);
|
2005-11-10 14:28:11 +01:00
|
|
|
|
2007-05-24 06:07:11 +02:00
|
|
|
if (use_sel == index_component_t::SEL_IDX_UP)
|
2011-03-27 12:08:33 +02:00
|
|
|
return elaborate_expr_net_idx_up_(des, scope, node, found_in,
|
|
|
|
|
need_const);
|
2005-11-10 14:28:11 +01:00
|
|
|
|
2007-05-24 06:07:11 +02:00
|
|
|
if (use_sel == index_component_t::SEL_IDX_DO)
|
2011-03-27 12:08:33 +02:00
|
|
|
return elaborate_expr_net_idx_do_(des, scope, node, found_in,
|
|
|
|
|
need_const);
|
2005-11-10 14:28:11 +01:00
|
|
|
|
2007-05-24 06:07:11 +02:00
|
|
|
if (use_sel == index_component_t::SEL_BIT)
|
2011-03-27 12:08:33 +02:00
|
|
|
return elaborate_expr_net_bit_(des, scope, node, found_in,
|
|
|
|
|
need_const);
|
2006-02-02 03:43:57 +01:00
|
|
|
|
2014-07-30 05:41:03 +02:00
|
|
|
if (use_sel == index_component_t::SEL_BIT_LAST)
|
|
|
|
|
return elaborate_expr_net_bit_last_(des, scope, node, found_in,
|
|
|
|
|
need_const);
|
|
|
|
|
|
2005-11-10 14:28:11 +01:00
|
|
|
// It's not anything else, so this must be a simple identifier
|
|
|
|
|
// expression with no part or bit select. Return the signal
|
|
|
|
|
// itself as the expression.
|
2007-05-24 06:07:11 +02:00
|
|
|
assert(use_sel == index_component_t::SEL_NONE);
|
2005-11-10 14:28:11 +01:00
|
|
|
|
|
|
|
|
return node;
|
|
|
|
|
}
|
|
|
|
|
|
2013-10-10 05:15:10 +02:00
|
|
|
unsigned PENewArray::test_width(Design*, NetScope*, width_mode_t&)
|
2012-07-16 05:44:07 +02:00
|
|
|
{
|
|
|
|
|
expr_type_ = IVL_VT_DARRAY;
|
|
|
|
|
expr_width_ = 1;
|
|
|
|
|
min_width_ = 1;
|
|
|
|
|
signed_flag_= false;
|
|
|
|
|
return 1;
|
|
|
|
|
}
|
|
|
|
|
|
2013-10-10 05:15:10 +02:00
|
|
|
NetExpr* PENewArray::elaborate_expr(Design*des, NetScope*scope,
|
|
|
|
|
ivl_type_t ntype, unsigned flags) const
|
2012-10-01 03:03:10 +02:00
|
|
|
{
|
|
|
|
|
// Elaborate the size expression.
|
|
|
|
|
width_mode_t mode = LOSSLESS;
|
|
|
|
|
unsigned use_wid = size_->test_width(des, scope, mode);
|
|
|
|
|
NetExpr*size = size_->elaborate_expr(des, scope, use_wid, flags);
|
2013-10-14 02:54:39 +02:00
|
|
|
NetExpr*init_val = 0;
|
2012-10-01 03:03:10 +02:00
|
|
|
|
2013-10-14 02:54:39 +02:00
|
|
|
if (dynamic_cast<PEAssignPattern*> (init_)) {
|
|
|
|
|
// Special case: the initial value expression is an
|
2014-01-31 01:16:19 +01:00
|
|
|
// array_pattern. Elaborate the expression like the
|
2013-10-14 02:54:39 +02:00
|
|
|
// r-value to an assignment to array.
|
|
|
|
|
init_val = init_->elaborate_expr(des, scope, ntype, flags);
|
2012-10-01 03:03:10 +02:00
|
|
|
|
2013-10-14 02:54:39 +02:00
|
|
|
} else if (init_) {
|
|
|
|
|
// Regular case: The initial value is an
|
|
|
|
|
// expression. Elaborate the expression as an element
|
|
|
|
|
// type. The run-time will assign this value to each element.
|
|
|
|
|
const netarray_t*array_type = dynamic_cast<const netarray_t*> (ntype);
|
|
|
|
|
ivl_type_t elem_type = array_type->element_type();
|
|
|
|
|
|
|
|
|
|
init_val = init_->elaborate_expr(des, scope, elem_type, flags);
|
2013-10-10 05:15:10 +02:00
|
|
|
}
|
|
|
|
|
|
2013-10-14 02:54:39 +02:00
|
|
|
NetENew*tmp = new NetENew(ntype, size, init_val);
|
2012-10-01 03:03:10 +02:00
|
|
|
tmp->set_line(*this);
|
2013-10-14 02:54:39 +02:00
|
|
|
|
2012-10-01 03:03:10 +02:00
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
/*
|
|
|
|
|
* This method should never actually be called.
|
|
|
|
|
*/
|
2013-10-10 05:15:10 +02:00
|
|
|
NetExpr* PENewArray::elaborate_expr(Design*, NetScope*, unsigned, unsigned) const
|
2012-07-16 05:44:07 +02:00
|
|
|
{
|
2012-11-18 01:44:25 +01:00
|
|
|
ivl_assert(*this, 0);
|
|
|
|
|
return 0;
|
2012-07-16 05:44:07 +02:00
|
|
|
}
|
|
|
|
|
|
2012-11-12 02:42:31 +01:00
|
|
|
unsigned PENewClass::test_width(Design*, NetScope*, width_mode_t&)
|
|
|
|
|
{
|
|
|
|
|
expr_type_ = IVL_VT_CLASS;
|
|
|
|
|
expr_width_ = 1;
|
|
|
|
|
min_width_ = 1;
|
|
|
|
|
signed_flag_= false;
|
|
|
|
|
return 1;
|
|
|
|
|
}
|
|
|
|
|
|
2013-11-02 23:00:40 +01:00
|
|
|
/*
|
|
|
|
|
* This elaborates the constructor for a class. This arranges for the
|
2013-11-10 04:45:03 +01:00
|
|
|
* call of class constructor, if present, and also
|
2013-11-02 23:00:40 +01:00
|
|
|
* initializers in front of an explicit constructor.
|
2013-11-04 00:20:30 +01:00
|
|
|
*
|
|
|
|
|
* The derived argument is the type of the class derived from the
|
|
|
|
|
* current one. This is used to get chained constructor arguments, if necessary.
|
2013-11-02 23:00:40 +01:00
|
|
|
*/
|
|
|
|
|
NetExpr* PENewClass::elaborate_expr_constructor_(Design*des, NetScope*scope,
|
|
|
|
|
const netclass_t*ctype,
|
2014-01-29 00:40:24 +01:00
|
|
|
NetExpr*obj, unsigned /*flags*/) const
|
2012-11-12 02:42:31 +01:00
|
|
|
{
|
2014-09-16 02:33:56 +02:00
|
|
|
ivl_assert(*this, ctype);
|
|
|
|
|
|
2013-06-17 00:14:50 +02:00
|
|
|
// If there is an initializer function, then pass the object
|
2014-01-29 00:50:27 +01:00
|
|
|
// through that function first. Note that the initializer
|
|
|
|
|
// function has no arguments other than the object itself.
|
2013-06-17 00:14:50 +02:00
|
|
|
if (NetScope*new1_scope = ctype->method_from_name(perm_string::literal("new@"))) {
|
|
|
|
|
NetFuncDef*def1 = new1_scope->func_def();
|
|
|
|
|
ivl_assert(*this, def1);
|
|
|
|
|
ivl_assert(*this, def1->port_count()==1);
|
|
|
|
|
vector<NetExpr*> parms1 (1);
|
|
|
|
|
parms1[0] = obj;
|
|
|
|
|
|
|
|
|
|
// The return value of the initializer is the "this"
|
|
|
|
|
// variable, instead of the "new&" scope name.
|
|
|
|
|
NetNet*res1 = new1_scope->find_signal(perm_string::literal("@"));
|
|
|
|
|
ivl_assert(*this, res1);
|
|
|
|
|
|
|
|
|
|
NetESignal*eres = new NetESignal(res1);
|
|
|
|
|
NetEUFunc*tmp = new NetEUFunc(scope, new1_scope, eres, parms1, true);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
obj = tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2013-11-04 00:20:30 +01:00
|
|
|
|
2013-04-21 01:27:51 +02:00
|
|
|
NetScope*new_scope = ctype->method_from_name(perm_string::literal("new"));
|
|
|
|
|
if (new_scope == 0) {
|
|
|
|
|
// No constructor.
|
2013-11-10 04:45:03 +01:00
|
|
|
if (parms_.size() > 0) {
|
2013-04-21 01:27:51 +02:00
|
|
|
cerr << get_fileline() << ": error: "
|
|
|
|
|
<< "Class " << ctype->get_name()
|
|
|
|
|
<< " has no constructor, but you passed " << parms_.size()
|
|
|
|
|
<< " arguments to the new operator." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
|
|
|
|
return obj;
|
|
|
|
|
}
|
|
|
|
|
|
2013-11-02 23:00:40 +01:00
|
|
|
|
2013-04-21 01:27:51 +02:00
|
|
|
NetFuncDef*def = new_scope->func_def();
|
2014-09-16 02:33:56 +02:00
|
|
|
if (def == 0) {
|
|
|
|
|
cerr << get_fileline() << ": internal error: "
|
|
|
|
|
<< "Scope " << scope_path(new_scope)
|
|
|
|
|
<< " is missing constructor definition." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
2013-04-21 01:27:51 +02:00
|
|
|
ivl_assert(*this, def);
|
|
|
|
|
|
2013-09-15 04:54:35 +02:00
|
|
|
// Are there too many arguments passed to the function. If so,
|
|
|
|
|
// generate an error message. The case of too few arguments
|
|
|
|
|
// will be handled below, when we run out of arguments.
|
2013-11-10 04:45:03 +01:00
|
|
|
if ((parms_.size()+1) > def->port_count()) {
|
2013-04-21 01:27:51 +02:00
|
|
|
cerr << get_fileline() << ": error: Parm count mismatch"
|
2013-11-10 04:45:03 +01:00
|
|
|
<< " passing " << parms_.size() << " arguments "
|
2013-04-21 01:27:51 +02:00
|
|
|
<< " to constructor expecting " << (def->port_count()-1)
|
|
|
|
|
<< " arguments." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
|
|
|
|
|
2013-09-15 04:54:35 +02:00
|
|
|
vector<NetExpr*> parms (def->port_count());
|
2013-04-21 01:27:51 +02:00
|
|
|
parms[0] = obj;
|
|
|
|
|
|
|
|
|
|
int missing_parms = 0;
|
|
|
|
|
int parm_errors = 0;
|
2013-09-15 04:54:35 +02:00
|
|
|
for (size_t idx = 1 ; idx < parms.size() ; idx += 1) {
|
|
|
|
|
// While there are default arguments, check them.
|
2013-11-10 04:45:03 +01:00
|
|
|
if (idx <= parms_.size() && parms_[idx-1]) {
|
|
|
|
|
PExpr*tmp = parms_[idx-1];
|
2013-11-04 00:20:30 +01:00
|
|
|
parms[idx] = elaborate_rval_expr(des, scope,
|
|
|
|
|
def->port(idx)->net_type(),
|
2013-09-29 23:48:42 +02:00
|
|
|
def->port(idx)->data_type(),
|
2013-09-15 04:54:35 +02:00
|
|
|
def->port(idx)->vector_width(),
|
|
|
|
|
tmp, false);
|
|
|
|
|
if (parms[idx] == 0)
|
|
|
|
|
parm_errors += 1;
|
2013-04-21 01:27:51 +02:00
|
|
|
|
|
|
|
|
continue;
|
|
|
|
|
}
|
|
|
|
|
|
2013-09-15 04:54:35 +02:00
|
|
|
// Ran out of explicit arguments. Is there a default
|
|
|
|
|
// argument we can use?
|
|
|
|
|
if (NetExpr*tmp = def->port_defe(idx)) {
|
|
|
|
|
parms[idx] = tmp;
|
2013-04-21 01:27:51 +02:00
|
|
|
continue;
|
|
|
|
|
}
|
2013-09-15 04:54:35 +02:00
|
|
|
|
|
|
|
|
// If we run out of passed expressions, and there is no
|
|
|
|
|
// default value for this port, then we will need to
|
|
|
|
|
// report an error that we are missing parameters.
|
|
|
|
|
missing_parms += 1;
|
|
|
|
|
parms[idx] = 0;
|
2013-04-21 01:27:51 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (missing_parms > 0) {
|
|
|
|
|
cerr << get_fileline() << ": error: The " << scope_path(new_scope)
|
|
|
|
|
<< " constructor call is missing arguments." << endl;
|
|
|
|
|
parm_errors += 1;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// The return value for the constructor is actually the "this"
|
|
|
|
|
// variable, instead of the "new" scope name.
|
|
|
|
|
NetNet*res = new_scope->find_signal(perm_string::literal("@"));
|
|
|
|
|
ivl_assert(*this, res);
|
|
|
|
|
|
|
|
|
|
NetESignal*eres = new NetESignal(res);
|
|
|
|
|
NetEUFunc*con = new NetEUFunc(scope, new_scope, eres, parms, true);
|
|
|
|
|
con->set_line(*this);
|
|
|
|
|
|
|
|
|
|
return con;
|
2012-11-12 02:42:31 +01:00
|
|
|
}
|
|
|
|
|
|
2013-11-02 23:00:40 +01:00
|
|
|
NetExpr* PENewClass::elaborate_expr(Design*des, NetScope*scope,
|
|
|
|
|
ivl_type_t ntype, unsigned flags) const
|
|
|
|
|
{
|
|
|
|
|
NetExpr*obj = new NetENew(ntype);
|
|
|
|
|
obj->set_line(*this);
|
|
|
|
|
|
|
|
|
|
// Find the constructor for the class. If there is no
|
|
|
|
|
// constructor then the result of this expression is the
|
|
|
|
|
// allocation alone.
|
|
|
|
|
const netclass_t*ctype = dynamic_cast<const netclass_t*> (ntype);
|
|
|
|
|
|
2013-11-10 04:45:03 +01:00
|
|
|
obj = elaborate_expr_constructor_(des, scope, ctype, obj, flags);
|
2013-11-02 23:00:40 +01:00
|
|
|
return obj;
|
|
|
|
|
}
|
|
|
|
|
|
2013-04-22 04:27:57 +02:00
|
|
|
unsigned PENewCopy::test_width(Design*, NetScope*, width_mode_t&)
|
|
|
|
|
{
|
|
|
|
|
expr_type_ = IVL_VT_CLASS;
|
|
|
|
|
expr_width_ = 1;
|
|
|
|
|
min_width_ = 1;
|
|
|
|
|
signed_flag_= false;
|
|
|
|
|
return 1;
|
|
|
|
|
}
|
|
|
|
|
|
2013-04-28 04:54:13 +02:00
|
|
|
NetExpr* PENewCopy::elaborate_expr(Design*des, NetScope*scope, ivl_type_t obj_type, unsigned) const
|
2013-04-22 04:27:57 +02:00
|
|
|
{
|
2013-04-28 04:54:13 +02:00
|
|
|
NetExpr*copy_arg = src_->elaborate_expr(des, scope, obj_type, 0);
|
|
|
|
|
if (copy_arg == 0)
|
|
|
|
|
return 0;
|
|
|
|
|
|
|
|
|
|
NetENew*obj_new = new NetENew(obj_type);
|
|
|
|
|
obj_new->set_line(*this);
|
|
|
|
|
|
|
|
|
|
NetEShallowCopy*copy = new NetEShallowCopy(obj_new, copy_arg);
|
|
|
|
|
copy->set_line(*this);
|
|
|
|
|
|
|
|
|
|
return copy;
|
2013-04-22 04:27:57 +02:00
|
|
|
}
|
|
|
|
|
|
2012-11-12 02:42:31 +01:00
|
|
|
/*
|
|
|
|
|
* A "null" expression represents class objects/handles. This brings
|
2014-01-29 00:50:27 +01:00
|
|
|
* up a ton of special cases, but we handle it here by setting the
|
2012-11-12 02:42:31 +01:00
|
|
|
* expr_type_ and expr_width_ to fixed values.
|
|
|
|
|
*/
|
|
|
|
|
unsigned PENull::test_width(Design*, NetScope*, width_mode_t&)
|
|
|
|
|
{
|
|
|
|
|
expr_type_ = IVL_VT_CLASS;
|
|
|
|
|
expr_width_ = 1;
|
|
|
|
|
min_width_ = 1;
|
|
|
|
|
signed_flag_ = false;
|
|
|
|
|
return expr_width_;
|
|
|
|
|
}
|
|
|
|
|
|
2012-11-22 02:48:46 +01:00
|
|
|
NetExpr* PENull::elaborate_expr(Design*, NetScope*, ivl_type_t, unsigned) const
|
|
|
|
|
{
|
|
|
|
|
NetENull*tmp = new NetENull;
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2012-11-12 02:42:31 +01:00
|
|
|
NetExpr* PENull::elaborate_expr(Design*, NetScope*, unsigned, unsigned) const
|
|
|
|
|
{
|
|
|
|
|
NetENull*tmp = new NetENull;
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned PENumber::test_width(Design*, NetScope*, width_mode_t&mode)
|
2006-10-30 06:44:49 +01:00
|
|
|
{
|
2011-02-26 23:59:52 +01:00
|
|
|
expr_type_ = IVL_VT_LOGIC;
|
|
|
|
|
expr_width_ = value_->len();
|
2013-10-28 23:07:09 +01:00
|
|
|
min_width_ = expr_width_;
|
2011-02-26 23:59:52 +01:00
|
|
|
signed_flag_ = value_->has_sign();
|
2006-10-30 06:44:49 +01:00
|
|
|
|
2013-10-21 00:16:11 +02:00
|
|
|
if (!value_->has_len() && !value_->is_single()) {
|
2013-10-28 23:07:09 +01:00
|
|
|
if (gn_strict_expr_width_flag) {
|
|
|
|
|
expr_width_ = integer_width;
|
|
|
|
|
mode = UNSIZED;
|
|
|
|
|
} else if (mode < LOSSLESS) {
|
2014-03-01 17:38:23 +01:00
|
|
|
if (expr_width_ < integer_width) {
|
|
|
|
|
expr_width_ = integer_width;
|
|
|
|
|
if (mode < UNSIZED)
|
|
|
|
|
mode = UNSIZED;
|
|
|
|
|
} else {
|
|
|
|
|
mode = LOSSLESS;
|
|
|
|
|
}
|
2013-10-28 23:07:09 +01:00
|
|
|
}
|
2013-10-21 00:16:11 +02:00
|
|
|
}
|
2008-09-22 03:14:26 +02:00
|
|
|
|
2014-03-01 17:38:23 +01:00
|
|
|
if (debug_elaborate) {
|
|
|
|
|
cerr << get_fileline() << ": PENumber::test_width: "
|
|
|
|
|
<< "Value=" << *value_
|
|
|
|
|
<< ", width=" << expr_width_
|
|
|
|
|
<< ", output mode=" << width_mode_name(mode) << endl;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
return expr_width_;
|
2006-10-30 06:44:49 +01:00
|
|
|
}
|
|
|
|
|
|
2013-10-19 05:05:26 +02:00
|
|
|
NetExpr* PENumber::elaborate_expr(Design*des, NetScope*, ivl_type_t ntype, unsigned) const
|
2013-01-27 21:30:38 +01:00
|
|
|
{
|
|
|
|
|
const netvector_t*use_type = dynamic_cast<const netvector_t*> (ntype);
|
|
|
|
|
if (use_type == 0) {
|
|
|
|
|
cerr << get_fileline() << ": internal error: "
|
|
|
|
|
<< "I don't know how cast numbers to this type."
|
|
|
|
|
<< endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2013-10-19 05:05:26 +02:00
|
|
|
// Special case: If the context type is REAL, then cast the
|
2014-01-31 01:16:19 +01:00
|
|
|
// vector value to a real and return a NetECReal.
|
2013-10-19 05:05:26 +02:00
|
|
|
if (ntype->base_type() == IVL_VT_REAL) {
|
|
|
|
|
verireal val (value_->as_long());
|
|
|
|
|
NetECReal*tmp = new NetECReal(val);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2013-01-27 21:30:38 +01:00
|
|
|
verinum use_val = value();
|
|
|
|
|
use_val .has_sign( use_type->get_signed() );
|
|
|
|
|
use_val = cast_to_width(use_val, use_type->packed_width());
|
|
|
|
|
|
|
|
|
|
NetEConst*tmp = new NetEConst(use_val);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2010-11-01 22:37:06 +01:00
|
|
|
NetEConst* PENumber::elaborate_expr(Design*, NetScope*,
|
2011-03-27 12:08:33 +02:00
|
|
|
unsigned expr_wid, unsigned) const
|
2000-03-08 05:36:53 +01:00
|
|
|
{
|
|
|
|
|
assert(value_);
|
2011-02-26 23:59:52 +01:00
|
|
|
verinum val = *value_;
|
|
|
|
|
if (val.has_len())
|
|
|
|
|
val.has_sign(signed_flag_);
|
|
|
|
|
val = cast_to_width(val, expr_wid);
|
|
|
|
|
NetEConst*tmp = new NetEConst(val);
|
|
|
|
|
tmp->cast_signed(signed_flag_);
|
2000-03-08 05:36:53 +01:00
|
|
|
tmp->set_line(*this);
|
2011-02-26 23:59:52 +01:00
|
|
|
|
2000-03-08 05:36:53 +01:00
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned PEString::test_width(Design*, NetScope*, width_mode_t&)
|
2006-11-10 05:54:26 +01:00
|
|
|
{
|
2011-02-26 23:59:52 +01:00
|
|
|
expr_type_ = IVL_VT_BOOL;
|
|
|
|
|
expr_width_ = text_? verinum(text_).len() : 0;
|
|
|
|
|
min_width_ = expr_width_;
|
|
|
|
|
signed_flag_ = false;
|
2006-11-10 05:54:26 +01:00
|
|
|
|
2008-10-19 07:00:22 +02:00
|
|
|
return expr_width_;
|
2006-11-10 05:54:26 +01:00
|
|
|
}
|
|
|
|
|
|
2013-11-24 23:35:05 +01:00
|
|
|
NetEConst* PEString::elaborate_expr(Design*, NetScope*, ivl_type_t, unsigned)const
|
2013-10-20 00:11:13 +02:00
|
|
|
{
|
|
|
|
|
verinum val(value());
|
|
|
|
|
NetEConst*tmp = new NetEConst(val);
|
|
|
|
|
tmp->cast_signed(signed_flag_);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2010-11-01 22:37:06 +01:00
|
|
|
NetEConst* PEString::elaborate_expr(Design*, NetScope*,
|
2011-03-27 12:08:33 +02:00
|
|
|
unsigned expr_wid, unsigned) const
|
2000-03-08 05:36:53 +01:00
|
|
|
{
|
2011-02-26 23:59:52 +01:00
|
|
|
verinum val(value());
|
|
|
|
|
val = pad_to_width(val, expr_wid);
|
|
|
|
|
NetEConst*tmp = new NetEConst(val);
|
|
|
|
|
tmp->cast_signed(signed_flag_);
|
2000-03-08 05:36:53 +01:00
|
|
|
tmp->set_line(*this);
|
2011-02-26 23:59:52 +01:00
|
|
|
|
2000-03-08 05:36:53 +01:00
|
|
|
return tmp;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned PETernary::test_width(Design*des, NetScope*scope, width_mode_t&mode)
|
2006-11-10 05:54:26 +01:00
|
|
|
{
|
2011-02-26 23:59:52 +01:00
|
|
|
// The condition of the ternary is self-determined, so
|
|
|
|
|
// we will test its width when we elaborate it.
|
2008-10-19 07:00:22 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
// Test the width of the true and false clauses.
|
|
|
|
|
unsigned tru_width = tru_->test_width(des, scope, mode);
|
2008-09-27 07:20:11 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
width_mode_t saved_mode = mode;
|
2008-09-21 03:17:17 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned fal_width = fal_->test_width(des, scope, mode);
|
|
|
|
|
|
|
|
|
|
// If the width mode changed, retest the true clause, as it
|
2013-10-28 23:07:09 +01:00
|
|
|
// may choose a different width if it is in a lossless context.
|
2011-02-26 23:59:52 +01:00
|
|
|
if ((mode >= LOSSLESS) && (saved_mode < LOSSLESS)) {
|
|
|
|
|
tru_width = tru_->test_width(des, scope, mode);
|
2008-09-21 03:17:17 +02:00
|
|
|
}
|
|
|
|
|
|
2009-01-06 04:44:52 +01:00
|
|
|
// If either of the alternatives is IVL_VT_REAL, then the
|
|
|
|
|
// expression as a whole is IVL_VT_REAL. Otherwise, if either
|
|
|
|
|
// of the alternatives is IVL_VT_LOGIC, then the expression as
|
|
|
|
|
// a whole is IVL_VT_LOGIC. The fallback assumes that the
|
|
|
|
|
// types are the same and we take that.
|
2011-02-26 23:59:52 +01:00
|
|
|
ivl_variable_type_t tru_type = tru_->expr_type();
|
|
|
|
|
ivl_variable_type_t fal_type = fal_->expr_type();
|
|
|
|
|
|
2009-01-06 04:44:52 +01:00
|
|
|
if (tru_type == IVL_VT_REAL || fal_type == IVL_VT_REAL) {
|
2008-10-11 05:42:07 +02:00
|
|
|
expr_type_ = IVL_VT_REAL;
|
2009-01-06 04:44:52 +01:00
|
|
|
} else if (tru_type == IVL_VT_LOGIC || fal_type == IVL_VT_LOGIC) {
|
2008-10-11 05:42:07 +02:00
|
|
|
expr_type_ = IVL_VT_LOGIC;
|
2009-01-06 04:44:52 +01:00
|
|
|
} else {
|
|
|
|
|
ivl_assert(*this, tru_type == fal_type);
|
2008-10-11 05:42:07 +02:00
|
|
|
expr_type_ = tru_type;
|
2011-02-26 23:59:52 +01:00
|
|
|
}
|
|
|
|
|
if (expr_type_ == IVL_VT_REAL) {
|
|
|
|
|
expr_width_ = 1;
|
|
|
|
|
min_width_ = 1;
|
|
|
|
|
signed_flag_ = true;
|
|
|
|
|
} else {
|
|
|
|
|
expr_width_ = max(tru_width, fal_width);
|
|
|
|
|
min_width_ = max(tru_->min_width(), fal_->min_width());
|
|
|
|
|
signed_flag_ = tru_->has_sign() && fal_->has_sign();
|
|
|
|
|
|
|
|
|
|
// If the alternatives are different types, the expression
|
|
|
|
|
// is forced to unsigned. In this case the lossless width
|
|
|
|
|
// calculation is unreliable and we need to make sure the
|
|
|
|
|
// final expression width is at least integer_width.
|
|
|
|
|
if ((mode == LOSSLESS) && (tru_->has_sign() != fal_->has_sign()))
|
2013-10-28 23:07:09 +01:00
|
|
|
mode = UPSIZE;
|
2009-01-06 04:44:52 +01:00
|
|
|
}
|
2008-10-11 05:42:07 +02:00
|
|
|
|
2009-01-06 04:44:52 +01:00
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": debug: "
|
|
|
|
|
<< "Ternary expression type=" << expr_type_
|
|
|
|
|
<< ", width=" << expr_width_
|
|
|
|
|
<< " (tru_type=" << tru_type
|
|
|
|
|
<< ", fal_type=" << fal_type << ")" << endl;
|
2008-10-11 05:42:07 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
return fix_width_(mode);
|
2006-11-10 05:54:26 +01:00
|
|
|
}
|
|
|
|
|
|
2008-09-14 01:43:39 +02:00
|
|
|
bool NetETernary::test_operand_compat(ivl_variable_type_t l,
|
|
|
|
|
ivl_variable_type_t r)
|
2005-09-14 04:53:13 +02:00
|
|
|
{
|
|
|
|
|
if (l == IVL_VT_LOGIC && r == IVL_VT_BOOL)
|
|
|
|
|
return true;
|
|
|
|
|
if (l == IVL_VT_BOOL && r == IVL_VT_LOGIC)
|
|
|
|
|
return true;
|
2008-03-08 03:51:50 +01:00
|
|
|
|
|
|
|
|
if (l == IVL_VT_REAL && (r == IVL_VT_LOGIC || r == IVL_VT_BOOL))
|
|
|
|
|
return true;
|
|
|
|
|
if (r == IVL_VT_REAL && (l == IVL_VT_LOGIC || l == IVL_VT_BOOL))
|
|
|
|
|
return true;
|
|
|
|
|
|
2005-09-14 04:53:13 +02:00
|
|
|
if (l == r)
|
|
|
|
|
return true;
|
|
|
|
|
|
|
|
|
|
return false;
|
|
|
|
|
}
|
|
|
|
|
|
1999-09-30 02:48:49 +02:00
|
|
|
/*
|
|
|
|
|
* Elaborate the Ternary operator. I know that the expressions were
|
|
|
|
|
* parsed so I can presume that they exist, and call elaboration
|
|
|
|
|
* methods. If any elaboration fails, then give up and return 0.
|
|
|
|
|
*/
|
2008-08-23 19:50:24 +02:00
|
|
|
NetExpr*PETernary::elaborate_expr(Design*des, NetScope*scope,
|
2011-03-27 12:08:33 +02:00
|
|
|
unsigned expr_wid, unsigned flags) const
|
1999-09-30 02:48:49 +02:00
|
|
|
{
|
2011-04-15 23:44:05 +02:00
|
|
|
flags &= ~SYS_TASK_ARG; // don't propagate the SYS_TASK_ARG flag
|
2011-03-27 12:08:33 +02:00
|
|
|
|
|
|
|
|
ivl_assert(*this, expr_);
|
|
|
|
|
ivl_assert(*this, tru_);
|
|
|
|
|
ivl_assert(*this, fal_);
|
1999-09-30 02:48:49 +02:00
|
|
|
|
2008-08-23 19:50:24 +02:00
|
|
|
// Elaborate and evaluate the condition expression. Note that
|
|
|
|
|
// it is always self-determined.
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr*con = elab_and_eval(des, scope, expr_, -1, NEED_CONST & flags);
|
1999-09-30 02:48:49 +02:00
|
|
|
if (con == 0)
|
|
|
|
|
return 0;
|
|
|
|
|
|
2008-08-23 19:50:24 +02:00
|
|
|
/* Make sure the condition expression reduces to a single bit. */
|
|
|
|
|
con = condition_reduce(con);
|
|
|
|
|
|
|
|
|
|
// Verilog doesn't say that we must do short circuit
|
|
|
|
|
// evaluation of ternary expressions, but it doesn't disallow
|
|
|
|
|
// it. The disadvantage of doing this is that semantic errors
|
|
|
|
|
// in the unused clause will be missed, but people don't seem
|
2010-10-02 20:02:27 +02:00
|
|
|
// to mind, and do appreciate the optimization available here.
|
2008-08-23 19:50:24 +02:00
|
|
|
if (NetEConst*tmp = dynamic_cast<NetEConst*> (con)) {
|
|
|
|
|
verinum cval = tmp->value();
|
|
|
|
|
ivl_assert(*this, cval.len()==1);
|
|
|
|
|
|
2010-05-13 03:53:56 +02:00
|
|
|
// Condition is constant TRUE, so we only need the true clause.
|
2008-08-23 19:50:24 +02:00
|
|
|
if (cval.get(0) == verinum::V1) {
|
2008-09-18 18:30:44 +02:00
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": debug: Short-circuit "
|
|
|
|
|
"elaborate TRUE clause of ternary."
|
|
|
|
|
<< endl;
|
2011-03-03 05:23:02 +01:00
|
|
|
|
2011-03-27 12:08:33 +02:00
|
|
|
return elab_and_eval_alternative_(des, scope, tru_,
|
2013-03-02 00:01:15 +01:00
|
|
|
expr_wid, flags, true);
|
2008-08-23 19:50:24 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// Condition is constant FALSE, so we only need the
|
|
|
|
|
// false clause.
|
|
|
|
|
if (cval.get(0) == verinum::V0) {
|
2008-09-18 18:30:44 +02:00
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": debug: Short-circuit "
|
|
|
|
|
"elaborate FALSE clause of ternary."
|
|
|
|
|
<< endl;
|
2011-02-26 23:59:52 +01:00
|
|
|
|
2011-03-27 12:08:33 +02:00
|
|
|
return elab_and_eval_alternative_(des, scope, fal_,
|
2013-03-02 00:01:15 +01:00
|
|
|
expr_wid, flags, true);
|
2008-08-23 19:50:24 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
// X and Z conditions need to blend both results, so we
|
|
|
|
|
// can't short-circuit.
|
|
|
|
|
}
|
|
|
|
|
|
2013-03-02 00:01:15 +01:00
|
|
|
NetExpr*tru = elab_and_eval_alternative_(des, scope, tru_,
|
|
|
|
|
expr_wid, flags, false);
|
1999-09-30 02:48:49 +02:00
|
|
|
if (tru == 0) {
|
|
|
|
|
delete con;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2013-03-02 00:01:15 +01:00
|
|
|
NetExpr*fal = elab_and_eval_alternative_(des, scope, fal_,
|
|
|
|
|
expr_wid, flags, false);
|
1999-09-30 02:48:49 +02:00
|
|
|
if (fal == 0) {
|
|
|
|
|
delete con;
|
|
|
|
|
delete tru;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2008-09-14 01:43:39 +02:00
|
|
|
if (! NetETernary::test_operand_compat(tru->expr_type(), fal->expr_type())) {
|
2007-12-20 18:31:01 +01:00
|
|
|
cerr << get_fileline() << ": error: Data types "
|
2005-09-01 06:10:47 +02:00
|
|
|
<< tru->expr_type() << " and "
|
|
|
|
|
<< fal->expr_type() << " of ternary"
|
|
|
|
|
<< " do not match." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
NetETernary*res = new NetETernary(con, tru, fal, expr_wid, signed_flag_);
|
2003-04-19 06:19:38 +02:00
|
|
|
res->set_line(*this);
|
1999-09-30 02:48:49 +02:00
|
|
|
return res;
|
|
|
|
|
}
|
|
|
|
|
|
2009-01-06 04:44:52 +01:00
|
|
|
/*
|
|
|
|
|
* When elaborating the true or false alternative expression of a
|
|
|
|
|
* ternary, take into account the overall expression type. If the type
|
|
|
|
|
* is not vectorable, then the alternative expression is evaluated as
|
|
|
|
|
* self-determined.
|
|
|
|
|
*/
|
|
|
|
|
NetExpr* PETernary::elab_and_eval_alternative_(Design*des, NetScope*scope,
|
2011-03-27 12:08:33 +02:00
|
|
|
PExpr*expr, unsigned expr_wid,
|
2013-03-02 00:01:15 +01:00
|
|
|
unsigned flags, bool short_cct) const
|
2009-01-06 04:44:52 +01:00
|
|
|
{
|
2011-03-03 01:28:53 +01:00
|
|
|
int context_wid = expr_wid;
|
2009-01-06 04:44:52 +01:00
|
|
|
if (type_is_vectorable(expr->expr_type()) && !type_is_vectorable(expr_type_)) {
|
2011-02-26 23:59:52 +01:00
|
|
|
expr_wid = expr->expr_width();
|
|
|
|
|
context_wid = -1;
|
|
|
|
|
} else {
|
|
|
|
|
expr->cast_signed(signed_flag_);
|
2009-01-06 04:44:52 +01:00
|
|
|
}
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr*tmp = expr->elaborate_expr(des, scope, expr_wid, flags);
|
2011-02-26 23:59:52 +01:00
|
|
|
if (tmp == 0) return 0;
|
2009-01-06 04:44:52 +01:00
|
|
|
|
2013-03-02 00:01:15 +01:00
|
|
|
if (short_cct && (expr_type_ == IVL_VT_REAL)
|
|
|
|
|
&& (expr->expr_type() != IVL_VT_REAL))
|
|
|
|
|
tmp = cast_to_real(tmp);
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
eval_expr(tmp, context_wid);
|
|
|
|
|
|
|
|
|
|
return tmp;
|
2009-01-06 04:44:52 +01:00
|
|
|
}
|
|
|
|
|
|
2013-12-16 02:49:57 +01:00
|
|
|
/*
|
|
|
|
|
* A typename expression is only legal in very narrow cases. This is
|
|
|
|
|
* just a placeholder.
|
|
|
|
|
*/
|
|
|
|
|
unsigned PETypename::test_width(Design*des, NetScope*, width_mode_t&)
|
|
|
|
|
{
|
|
|
|
|
cerr << get_fileline() << ": error: "
|
|
|
|
|
<< "Type names are not valid expressions here." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
|
|
|
|
|
expr_type_ = IVL_VT_NO_TYPE;
|
|
|
|
|
expr_width_ = 1;
|
|
|
|
|
min_width_ = 1;
|
|
|
|
|
signed_flag_ = false;
|
|
|
|
|
return expr_width_;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
NetExpr*PETypename::elaborate_expr(Design*des, NetScope*,
|
|
|
|
|
ivl_type_t, unsigned) const
|
|
|
|
|
{
|
|
|
|
|
cerr << get_fileline() << ": error: Type name not a valid expression here." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned PEUnary::test_width(Design*des, NetScope*scope, width_mode_t&mode)
|
2008-08-16 07:03:19 +02:00
|
|
|
{
|
|
|
|
|
switch (op_) {
|
2008-10-21 18:00:48 +02:00
|
|
|
case '&': // Reduction AND
|
2008-08-16 07:03:19 +02:00
|
|
|
case '|': // Reduction OR
|
|
|
|
|
case '^': // Reduction XOR
|
|
|
|
|
case 'A': // Reduction NAND (~&)
|
|
|
|
|
case 'N': // Reduction NOR (~|)
|
|
|
|
|
case 'X': // Reduction NXOR (~^)
|
2011-02-26 23:59:52 +01:00
|
|
|
case '!':
|
|
|
|
|
{
|
|
|
|
|
width_mode_t sub_mode = SIZED;
|
|
|
|
|
unsigned sub_width = expr_->test_width(des, scope, sub_mode);
|
2008-10-19 07:00:22 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
expr_type_ = expr_->expr_type();
|
|
|
|
|
expr_width_ = 1;
|
|
|
|
|
min_width_ = 1;
|
|
|
|
|
signed_flag_ = false;
|
2009-02-19 01:02:58 +01:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
if ((op_ == '!') && (expr_type_ != IVL_VT_BOOL))
|
|
|
|
|
expr_type_ = IVL_VT_LOGIC;
|
2008-08-27 06:33:24 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << get_fileline() << ": debug: "
|
|
|
|
|
<< "Test width of sub-expression of " << op_
|
|
|
|
|
<< " returns " << sub_width << "." << endl;
|
|
|
|
|
|
|
|
|
|
}
|
|
|
|
|
return expr_width_;
|
2008-08-27 06:33:24 +02:00
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
expr_width_ = expr_->test_width(des, scope, mode);
|
|
|
|
|
expr_type_ = expr_->expr_type();
|
|
|
|
|
min_width_ = expr_->min_width();
|
|
|
|
|
signed_flag_ = expr_->has_sign();
|
|
|
|
|
|
|
|
|
|
return fix_width_(mode);
|
2008-08-16 07:03:19 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
|
2006-06-02 06:48:49 +02:00
|
|
|
NetExpr* PEUnary::elaborate_expr(Design*des, NetScope*scope,
|
2011-03-27 12:08:33 +02:00
|
|
|
unsigned expr_wid, unsigned flags) const
|
2000-03-08 05:36:53 +01:00
|
|
|
{
|
2011-04-15 23:44:05 +02:00
|
|
|
flags &= ~SYS_TASK_ARG; // don't propagate the SYS_TASK_ARG flag
|
2011-08-06 23:54:38 +02:00
|
|
|
ivl_variable_type_t t;
|
2011-03-27 12:08:33 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
unsigned sub_width = expr_wid;
|
2008-03-26 03:00:00 +01:00
|
|
|
switch (op_) {
|
2011-03-12 21:26:03 +01:00
|
|
|
// Reduction operators and ! always have a self determined width.
|
2008-03-26 03:00:00 +01:00
|
|
|
case '!':
|
|
|
|
|
case '&': // Reduction AND
|
|
|
|
|
case '|': // Reduction OR
|
|
|
|
|
case '^': // Reduction XOR
|
|
|
|
|
case 'A': // Reduction NAND (~&)
|
|
|
|
|
case 'N': // Reduction NOR (~|)
|
|
|
|
|
case 'X': // Reduction NXOR (~^)
|
2011-02-26 23:59:52 +01:00
|
|
|
sub_width = expr_->expr_width();
|
2011-03-12 21:26:03 +01:00
|
|
|
break;
|
|
|
|
|
|
|
|
|
|
// Other operators have context determined operands, so propagate
|
|
|
|
|
// the expression type (signed/unsigned) down to the operands.
|
2008-03-26 03:00:00 +01:00
|
|
|
default:
|
2011-03-12 21:26:03 +01:00
|
|
|
expr_->cast_signed(signed_flag_);
|
2008-03-26 03:00:00 +01:00
|
|
|
break;
|
|
|
|
|
}
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr*ip = expr_->elaborate_expr(des, scope, sub_width, flags);
|
2000-03-08 05:36:53 +01:00
|
|
|
if (ip == 0) return 0;
|
|
|
|
|
|
2008-11-27 00:37:38 +01:00
|
|
|
ivl_assert(*expr_, expr_type_ != IVL_VT_NO_TYPE);
|
|
|
|
|
|
2002-04-14 05:55:25 +02:00
|
|
|
NetExpr*tmp;
|
2000-03-08 05:36:53 +01:00
|
|
|
switch (op_) {
|
2011-08-06 23:54:38 +02:00
|
|
|
case 'i':
|
|
|
|
|
case 'I':
|
|
|
|
|
case 'D':
|
|
|
|
|
case 'd':
|
|
|
|
|
t = ip->expr_type();
|
|
|
|
|
if (expr_wid != expr_->expr_width()) {
|
|
|
|
|
/*
|
|
|
|
|
* TODO: Need to modify draw_unary_expr() to support
|
|
|
|
|
* increment/decrement operations on slice of vector.
|
|
|
|
|
*/
|
|
|
|
|
cerr << get_fileline() << ": sorry: "
|
|
|
|
|
<< human_readable_op(op_, true)
|
|
|
|
|
<< " operation is not yet supported on "
|
|
|
|
|
<< "vector slice." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
2011-08-21 05:29:41 +02:00
|
|
|
} else if (t == IVL_VT_LOGIC || t == IVL_VT_BOOL ||
|
|
|
|
|
t == IVL_VT_REAL) {
|
|
|
|
|
|
|
|
|
|
if (dynamic_cast<NetEConst *> (ip) ||
|
|
|
|
|
dynamic_cast<NetECReal*> (ip)) {
|
|
|
|
|
/*
|
|
|
|
|
* invalid operand: operand is a constant
|
|
|
|
|
* or real number
|
|
|
|
|
*/
|
2011-08-19 10:32:35 +02:00
|
|
|
cerr << get_fileline() << ": error: "
|
|
|
|
|
<< "inappropriate use of "
|
|
|
|
|
<< human_readable_op(op_, true)
|
|
|
|
|
<< " operator." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2011-08-06 23:54:38 +02:00
|
|
|
/*
|
2011-08-21 05:29:41 +02:00
|
|
|
* **** Valid use of operator ***
|
2014-01-31 01:16:19 +01:00
|
|
|
* For REAL variables draw_unary_real() is invoked during
|
2011-08-21 05:29:41 +02:00
|
|
|
* evaluation and for LOGIC/BOOLEAN draw_unary_expr()
|
|
|
|
|
* is called for evaluation.
|
2011-08-06 23:54:38 +02:00
|
|
|
*/
|
|
|
|
|
tmp = new NetEUnary(op_, ip, expr_wid, signed_flag_);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
} else {
|
|
|
|
|
cerr << get_fileline() << ": error: "
|
|
|
|
|
<< "inappropriate use of "
|
|
|
|
|
<< human_readable_op(op_, true)
|
|
|
|
|
<< " operator." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
break;
|
|
|
|
|
|
2000-03-08 05:36:53 +01:00
|
|
|
default:
|
2011-02-26 23:59:52 +01:00
|
|
|
tmp = new NetEUnary(op_, ip, expr_wid, signed_flag_);
|
2000-03-08 05:36:53 +01:00
|
|
|
tmp->set_line(*this);
|
|
|
|
|
break;
|
2002-04-14 05:55:25 +02:00
|
|
|
|
|
|
|
|
case '-':
|
|
|
|
|
if (NetEConst*ipc = dynamic_cast<NetEConst*>(ip)) {
|
2006-06-02 06:48:49 +02:00
|
|
|
|
2014-02-25 21:39:21 +01:00
|
|
|
verinum val = - ipc->value();
|
|
|
|
|
tmp = new NetEConst(val);
|
2011-02-26 23:59:52 +01:00
|
|
|
tmp->cast_signed(signed_flag_);
|
2006-06-02 06:48:49 +02:00
|
|
|
tmp->set_line(*this);
|
2002-04-14 05:55:25 +02:00
|
|
|
delete ip;
|
2004-06-05 01:34:15 +02:00
|
|
|
|
2008-10-20 19:06:04 +02:00
|
|
|
} else if (NetECReal*ipr = dynamic_cast<NetECReal*>(ip)) {
|
2004-06-05 01:34:15 +02:00
|
|
|
|
|
|
|
|
/* When taking the - of a real, fold this into the
|
|
|
|
|
constant value. */
|
2008-10-20 19:06:04 +02:00
|
|
|
verireal val = - ipr->value();
|
2004-06-05 01:34:15 +02:00
|
|
|
tmp = new NetECReal(val);
|
2009-02-14 03:25:54 +01:00
|
|
|
tmp->set_line(*this);
|
2004-06-05 01:34:15 +02:00
|
|
|
delete ip;
|
|
|
|
|
|
2002-04-14 05:55:25 +02:00
|
|
|
} else {
|
2011-02-26 23:59:52 +01:00
|
|
|
tmp = new NetEUnary(op_, ip, expr_wid, signed_flag_);
|
2002-04-14 05:55:25 +02:00
|
|
|
tmp->set_line(*this);
|
|
|
|
|
}
|
|
|
|
|
break;
|
|
|
|
|
|
|
|
|
|
case '+':
|
|
|
|
|
tmp = ip;
|
|
|
|
|
break;
|
|
|
|
|
|
2000-11-29 06:24:00 +01:00
|
|
|
case '!': // Logical NOT
|
2002-04-14 23:16:48 +02:00
|
|
|
/* If the operand to unary ! is a constant, then I can
|
|
|
|
|
evaluate this expression here and return a logical
|
|
|
|
|
constant in its place. */
|
|
|
|
|
if (NetEConst*ipc = dynamic_cast<NetEConst*>(ip)) {
|
|
|
|
|
verinum val = ipc->value();
|
|
|
|
|
unsigned v1 = 0;
|
|
|
|
|
unsigned vx = 0;
|
|
|
|
|
for (unsigned idx = 0 ; idx < val.len() ; idx += 1)
|
|
|
|
|
switch (val[idx]) {
|
|
|
|
|
case verinum::V0:
|
|
|
|
|
break;
|
|
|
|
|
case verinum::V1:
|
|
|
|
|
v1 += 1;
|
|
|
|
|
break;
|
|
|
|
|
default:
|
|
|
|
|
vx += 1;
|
|
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
verinum::V res;
|
|
|
|
|
if (v1 > 0)
|
|
|
|
|
res = verinum::V0;
|
|
|
|
|
else if (vx > 0)
|
|
|
|
|
res = verinum::Vx;
|
|
|
|
|
else
|
|
|
|
|
res = verinum::V1;
|
|
|
|
|
|
2009-02-14 03:25:54 +01:00
|
|
|
verinum vres (res, 1, true);
|
|
|
|
|
tmp = new NetEConst(vres);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
delete ip;
|
|
|
|
|
} else if (NetECReal*ipr = dynamic_cast<NetECReal*>(ip)) {
|
|
|
|
|
verinum::V res;
|
|
|
|
|
if (ipr->value().as_double() == 0.0) res = verinum::V1;
|
|
|
|
|
else res = verinum::V0;
|
2002-04-14 23:16:48 +02:00
|
|
|
verinum vres (res, 1, true);
|
|
|
|
|
tmp = new NetEConst(vres);
|
|
|
|
|
tmp->set_line(*this);
|
|
|
|
|
delete ip;
|
|
|
|
|
} else {
|
2009-02-14 03:25:54 +01:00
|
|
|
if (ip->expr_type() == IVL_VT_REAL) {
|
|
|
|
|
tmp = new NetEBComp('e', ip,
|
|
|
|
|
new NetECReal(verireal(0.0)));
|
|
|
|
|
} else {
|
|
|
|
|
tmp = new NetEUReduce(op_, ip);
|
|
|
|
|
}
|
2002-04-14 23:16:48 +02:00
|
|
|
tmp->set_line(*this);
|
|
|
|
|
}
|
2011-02-26 23:59:52 +01:00
|
|
|
tmp = pad_to_width(tmp, expr_wid, *this);
|
2002-04-14 23:16:48 +02:00
|
|
|
break;
|
|
|
|
|
|
2000-11-29 06:24:00 +01:00
|
|
|
case '&': // Reduction AND
|
2001-01-02 05:21:13 +01:00
|
|
|
case '|': // Reduction OR
|
2000-11-29 06:24:00 +01:00
|
|
|
case '^': // Reduction XOR
|
|
|
|
|
case 'A': // Reduction NAND (~&)
|
|
|
|
|
case 'N': // Reduction NOR (~|)
|
|
|
|
|
case 'X': // Reduction NXOR (~^)
|
2009-02-14 03:25:54 +01:00
|
|
|
if (ip->expr_type() == IVL_VT_REAL) {
|
|
|
|
|
cerr << get_fileline() << ": error: "
|
|
|
|
|
<< human_readable_op(op_, true)
|
|
|
|
|
<< " operator may not have a REAL operand." << endl;
|
|
|
|
|
des->errors += 1;
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
2000-11-29 06:24:00 +01:00
|
|
|
tmp = new NetEUReduce(op_, ip);
|
|
|
|
|
tmp->set_line(*this);
|
2011-02-26 23:59:52 +01:00
|
|
|
tmp = pad_to_width(tmp, expr_wid, *this);
|
2000-11-29 06:24:00 +01:00
|
|
|
break;
|
2002-04-14 05:55:25 +02:00
|
|
|
|
2000-03-08 05:36:53 +01:00
|
|
|
case '~':
|
2008-10-13 06:38:07 +02:00
|
|
|
tmp = elaborate_expr_bits_(ip, expr_wid);
|
2000-03-08 05:36:53 +01:00
|
|
|
break;
|
|
|
|
|
}
|
2001-11-19 03:54:12 +01:00
|
|
|
|
2000-03-08 05:36:53 +01:00
|
|
|
return tmp;
|
|
|
|
|
}
|
2008-10-13 06:38:07 +02:00
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
NetExpr* PEUnary::elaborate_expr_bits_(NetExpr*operand, unsigned expr_wid) const
|
2008-10-13 06:38:07 +02:00
|
|
|
{
|
|
|
|
|
// Handle the special case that the operand is a
|
|
|
|
|
// constant. Simply calculate the constant results of the
|
|
|
|
|
// expression and return that.
|
|
|
|
|
if (NetEConst*ctmp = dynamic_cast<NetEConst*> (operand)) {
|
|
|
|
|
verinum value = ctmp->value();
|
|
|
|
|
|
|
|
|
|
// The only operand that I know can get here is the
|
|
|
|
|
// unary not (~).
|
|
|
|
|
ivl_assert(*this, op_ == '~');
|
2014-02-25 21:39:21 +01:00
|
|
|
value = ~value;
|
2008-10-13 06:38:07 +02:00
|
|
|
|
|
|
|
|
ctmp = new NetEConst(value);
|
|
|
|
|
ctmp->set_line(*this);
|
|
|
|
|
delete operand;
|
|
|
|
|
return ctmp;
|
|
|
|
|
}
|
|
|
|
|
|
2011-02-26 23:59:52 +01:00
|
|
|
NetEUBits*tmp = new NetEUBits(op_, operand, expr_wid, signed_flag_);
|
2008-10-13 06:38:07 +02:00
|
|
|
tmp->set_line(*this);
|
|
|
|
|
return tmp;
|
|
|
|
|
}
|
2008-11-10 06:42:12 +01:00
|
|
|
|
2011-03-27 12:08:33 +02:00
|
|
|
NetExpr* PEVoid::elaborate_expr(Design*, NetScope*, unsigned, unsigned) const
|
2011-02-26 23:59:52 +01:00
|
|
|
{
|
|
|
|
|
return 0;
|
|
|
|
|
}
|
|
|
|
|
|
2008-11-10 06:42:12 +01:00
|
|
|
NetNet* Design::find_discipline_reference(ivl_discipline_t dis, NetScope*scope)
|
|
|
|
|
{
|
|
|
|
|
NetNet*gnd = discipline_references_[dis->name()];
|
|
|
|
|
|
|
|
|
|
if (gnd) return gnd;
|
|
|
|
|
|
|
|
|
|
string name = string(dis->name()) + "$gnd";
|
2012-09-15 19:27:43 +02:00
|
|
|
netvector_t*gnd_vec = new netvector_t(IVL_VT_REAL,0,0);
|
|
|
|
|
gnd = new NetNet(scope, lex_strings.make(name), NetNet::WIRE, gnd_vec);
|
2008-11-10 06:42:12 +01:00
|
|
|
gnd->set_discipline(dis);
|
|
|
|
|
discipline_references_[dis->name()] = gnd;
|
|
|
|
|
|
|
|
|
|
if (debug_elaborate)
|
|
|
|
|
cerr << gnd->get_fileline() << ": debug: "
|
|
|
|
|
<< "Create an implicit reference terminal"
|
|
|
|
|
<< " for discipline=" << dis->name()
|
|
|
|
|
<< " in scope=" << scope_path(scope) << endl;
|
|
|
|
|
|
|
|
|
|
return gnd;
|
|
|
|
|
}
|