2021-03-17 02:17:55 +01:00
|
|
|
.. for github, vim: syntax=reStructuredText
|
|
|
|
|
|
|
|
|
|
===============================
|
2021-03-14 19:11:57 +01:00
|
|
|
Revision History and Change Log
|
2021-03-17 02:17:55 +01:00
|
|
|
===============================
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
The changes in each Verilator version are described below. The
|
|
|
|
|
contributors that suggested a given feature are shown in []. Thanks!
|
2012-03-08 05:02:24 +01:00
|
|
|
|
2021-03-12 21:52:35 +01:00
|
|
|
|
2022-03-12 19:17:48 +01:00
|
|
|
Verilator 4.221 devel
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
2022-03-25 20:46:50 +01:00
|
|
|
* Split --prof-threads into --prof-exec and --prof-pgo (#3365). [Geza Lore, Shunyao CAD]
|
2022-03-27 21:27:40 +02:00
|
|
|
* Deprecate 'vluint64_t' and similar types (#3255).
|
2022-03-27 19:59:18 +02:00
|
|
|
* Fix MSVC localtime_s (#3124).
|
2022-04-01 01:14:13 +02:00
|
|
|
* Fix Bison 3.8.2 error (#3366). [elike-ypq]
|
2022-04-09 21:24:40 +02:00
|
|
|
* Fix rare bug in -Oz (V3Localize) (#3286). [Geza Lore, Shunyao CAD]
|
2022-03-12 19:17:48 +01:00
|
|
|
|
|
|
|
|
|
2022-03-12 19:09:08 +01:00
|
|
|
Verilator 4.220 2022-03-12
|
2022-01-17 21:54:31 +01:00
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
2022-01-17 22:04:06 +01:00
|
|
|
* Removed the deprecated lint_off flag -msg; use -rule instead.
|
2022-01-17 22:22:07 +01:00
|
|
|
* Removed the deprecated "fl" attribute in XML output; use "loc" attribute instead.
|
2022-02-13 21:28:07 +01:00
|
|
|
* Suppress WIDTH warning on negate using carry bit (#3295). [Peter Monsson]
|
2022-03-05 21:44:32 +01:00
|
|
|
* Add trace dumpvars() call for selective runtime tracing (#3322). [Shunyao CAD]
|
2022-03-12 17:17:39 +01:00
|
|
|
* Add VERILATOR_VERSION_INTEGER for determining API (#3343). [Larry Doolittle]
|
2022-03-12 17:34:04 +01:00
|
|
|
* Improve various V3Combine algorithm details (#3328). [Yutetsu TAKATSUKASA]
|
|
|
|
|
* Improve various V3Order algorithm details. [Geza Lore]
|
|
|
|
|
* Fix macOS arm64 build (#3285) (#3291). [Guokai Chen]
|
|
|
|
|
* Fix signed number operation (#3294) (#3308). [Raynard Qiao]
|
|
|
|
|
* Fix FST traces to include vector range (#3296) (#3297). [Jamie Iles]
|
2022-02-11 01:27:28 +01:00
|
|
|
* Fix skipping public enum values with four-state values (#3303).
|
2022-02-19 16:03:55 +01:00
|
|
|
* Fix $readmem file not found to be warning not error (#3310). [Alexander Grobman]
|
2022-03-05 22:32:30 +01:00
|
|
|
* Fix class stringification on wide arrays (#3312). [Iru Cai]
|
2022-03-07 23:44:16 +01:00
|
|
|
* Fix $fscanf etc to return -1 on EOF (#3313). [Jose Tejada]
|
2022-03-05 22:19:53 +01:00
|
|
|
* Fix public function arguments that are arrayed (#3316). [pawel256]
|
2022-03-05 23:04:52 +01:00
|
|
|
* Fix unnamedblk error on foreach (#3321). [Aliaksei Chapyzhenka]
|
2022-03-05 15:08:49 +01:00
|
|
|
* Fix crash in recursive module inlining (#3324). [Larry Doolittle]
|
2022-03-12 17:34:04 +01:00
|
|
|
* Fix VL_RESTORER behavior on passing a lvalue reference (#3326). [HungMingWu]
|
2022-03-05 23:04:52 +01:00
|
|
|
* Fix compile error with --trace-fst --sc (#3332). [leavinel]
|
2022-03-12 17:34:04 +01:00
|
|
|
* Fix cast to array types (#3333). [Todd Strader]
|
2022-03-06 02:17:36 +01:00
|
|
|
* Fix Vdeeptemp error with --threads and --compiler clang (#3338). [Per Karlsson]
|
2022-01-17 21:54:31 +01:00
|
|
|
|
|
|
|
|
|
2022-01-17 21:52:26 +01:00
|
|
|
Verilator 4.218 2022-01-17
|
2021-12-05 17:16:02 +01:00
|
|
|
==========================
|
|
|
|
|
|
2021-12-10 01:41:33 +01:00
|
|
|
**Major:**
|
|
|
|
|
|
|
|
|
|
* Primary inputs and outputs (VL_INW/VL_OUTW) now use VlWide type.
|
|
|
|
|
In general this should be backward compatible, but may lead to some
|
|
|
|
|
wrapper code needing changes.
|
2022-01-16 16:30:44 +01:00
|
|
|
* Option --cdc is deprecated and is planned for removal,
|
|
|
|
|
file a bug if this is still being used.
|
2021-12-10 01:41:33 +01:00
|
|
|
|
2021-12-05 17:16:02 +01:00
|
|
|
**Minor:**
|
|
|
|
|
|
2022-01-02 21:09:07 +01:00
|
|
|
* Support class static members (#2233).
|
2022-01-01 18:26:30 +01:00
|
|
|
* Support force/release (#2431) (#2593). [Shunyao CAD]
|
2021-12-19 20:45:06 +01:00
|
|
|
* Add 'forceable' attribute to allow forcing from C++. (#3272). [Geza Lore, Shunyao CAD]
|
2021-12-12 02:39:58 +01:00
|
|
|
* Support lower dimension looping in foreach loops (#3172). [Ehab Ibrahim]
|
2021-12-11 17:29:01 +01:00
|
|
|
* Support up to 64 bit enums for .next/.prev/.name (#3244). [Alexander Grobman]
|
2021-12-21 19:17:56 +01:00
|
|
|
* Reduce .rodata footprint of trace initialization (#3250). [Geza Lore, Shunyao CAD]
|
2022-01-17 20:16:09 +01:00
|
|
|
* Support FST tracing in hierarchical Verilation (#3251). [Yutetsu TAKATSUKASA]
|
2022-01-01 22:04:20 +01:00
|
|
|
* Use C++11 standard types for MacOS portability (#3254) (#3257). [Adrien Le Masle]
|
2022-01-17 20:04:43 +01:00
|
|
|
* Fix make support for BSD ar (#2999) (#3256). [Julie Schwartz]
|
2021-12-22 01:55:04 +01:00
|
|
|
* Fix bad ending address on $readmem (#3205). [Julie Schwartz]
|
2021-12-06 14:15:58 +01:00
|
|
|
* Fix MSWIN compile error (#2681). [Unai Martinez-Corral]
|
2021-12-11 21:06:33 +01:00
|
|
|
* Fix break under foreach loop (#3230).
|
2022-01-17 20:16:09 +01:00
|
|
|
* Fix VL_STREAML_FAST_QQI with 64 bit left-hand-side (#3232) (#3235). [Adrien Le Masle]
|
2021-12-10 01:41:33 +01:00
|
|
|
* Fix $sformat of inputs/outputs (#3236). [Adrien Le Masle]
|
2022-01-01 23:10:14 +01:00
|
|
|
* Fix associative array first method as statement (#3228). [Adrien Le Masle]
|
2021-12-12 00:38:23 +01:00
|
|
|
* Fix associative array foreach loop (#3229).
|
2022-01-01 22:48:15 +01:00
|
|
|
* Fix $fclose not accepting expressions (#3237). [Julie Schwartz]
|
2022-01-01 22:43:06 +01:00
|
|
|
* Fix $random not updating seed (#3238). [Julie Schwartz]
|
2022-01-17 20:16:09 +01:00
|
|
|
* Fix top level param overwrite when package has same param (#3241) (#3247). [Adrien Le Masle]
|
2022-01-02 00:37:34 +01:00
|
|
|
* Fix spurious UNUSED by ignoring inout pin connections (#3242). [Julie Schwartz]
|
2021-12-20 11:56:46 +01:00
|
|
|
* Fix splitting of _eval and other top level functions. [Geza Lore, Shunyao CAD]
|
2022-01-05 00:37:20 +01:00
|
|
|
* Fix internal error by inout port (#3258). [Yutetsu TAKATSUKASA]
|
2022-01-17 20:16:09 +01:00
|
|
|
* Fix GCC 11 compile error (#3273). [HungMingWu]
|
2021-12-06 14:15:58 +01:00
|
|
|
|
2021-12-05 17:16:02 +01:00
|
|
|
|
2021-12-05 17:10:19 +01:00
|
|
|
Verilator 4.216 2021-12-05
|
2021-10-17 20:47:19 +02:00
|
|
|
==========================
|
|
|
|
|
|
2021-11-14 15:39:31 +01:00
|
|
|
**Major:**
|
|
|
|
|
|
|
|
|
|
* Add --lib-create, similar to --protect-lib but without protections.
|
2021-11-27 23:07:27 +01:00
|
|
|
* Support tracing through --hierarchical/--lib-create libraries (#3200).
|
2021-11-14 15:39:31 +01:00
|
|
|
|
2021-10-17 20:51:50 +02:00
|
|
|
**Minor:**
|
|
|
|
|
|
2021-11-01 13:57:43 +01:00
|
|
|
* Internal code cleanups and improvements. [Geza Lore]
|
2021-11-04 03:16:18 +01:00
|
|
|
* Improve --thread verilation-time performance.
|
2021-11-27 02:38:48 +01:00
|
|
|
* Support task name in $display %m (#3211). [Julie Schwartz]
|
2021-11-27 15:05:51 +01:00
|
|
|
* Make 'bit', 'logic' and 'time' types unsigned by default. [Geza Lore]
|
2021-11-28 20:17:28 +01:00
|
|
|
* Optimize $random concatenates/selects (#3114).
|
2021-11-14 15:39:31 +01:00
|
|
|
* Fix array method names with parenthesis (#3181) (#3183). [Teng Huang]
|
2021-11-01 13:57:43 +01:00
|
|
|
* Fix split_var assign merging (#3177) (#3179). [Yutetsu TAKATSUKASA]
|
2021-11-27 15:05:51 +01:00
|
|
|
* Fix wrong bit op tree optimization (#3185). [Yutetsu TAKATSUKASA]
|
|
|
|
|
* Fix some SliceSels not being constants (#3186) (#3218). [Michaël Lefebvre]
|
2021-11-01 13:59:00 +01:00
|
|
|
* Fix nested generate if genblk naming (#3189). [yanx21]
|
2021-11-23 13:27:41 +01:00
|
|
|
* Fix hang on recursive definition error (#3199). [Jonathan Kimmitt]
|
2021-11-18 00:52:53 +01:00
|
|
|
* Fix display of signed without format (#3204). [Julie Schwartz]
|
2021-11-25 14:03:27 +01:00
|
|
|
* Fix display of empty string constant (#3207) (#3215). [Julie Schwartz]
|
2021-11-24 00:15:21 +01:00
|
|
|
* Fix incorrect width after and-or optimization (#3208). [Julie Schwartz]
|
2021-11-24 00:22:16 +01:00
|
|
|
* Fix $fopen etc on integer arrays (#3214). [adrienlemasle]
|
2021-11-25 13:50:47 +01:00
|
|
|
* Fix $size on dynamic strings (#3216).
|
|
|
|
|
* Fix %0 format on $value$plusargs (#3217).
|
2021-11-28 21:47:19 +01:00
|
|
|
* Fix timescale portability on Arm64 (#3222).
|
2021-10-17 20:51:50 +02:00
|
|
|
|
2021-10-17 20:47:19 +02:00
|
|
|
|
2021-10-17 20:36:23 +02:00
|
|
|
Verilator 4.214 2021-10-17
|
2021-09-02 03:08:17 +02:00
|
|
|
==========================
|
|
|
|
|
|
2021-10-17 20:51:50 +02:00
|
|
|
**Major:**
|
|
|
|
|
|
2021-09-27 04:51:11 +02:00
|
|
|
* Add profile-guided optmization of mtasks (#3150).
|
2021-10-17 20:51:50 +02:00
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
2021-09-24 14:43:25 +02:00
|
|
|
* Verilator_gantt has removed the ASCII graphics, use the VCD output instead.
|
2021-09-24 04:59:36 +02:00
|
|
|
* Verilator_gantt now shows the predicted mtask times, eval times, and additional statistics.
|
|
|
|
|
* Verilator_gantt data files now include processor information, to allow later processing.
|
2021-09-24 14:48:04 +02:00
|
|
|
* Support displaying x and z in $display task (#3107) (#3109). [Iru Cai]
|
2021-09-04 01:59:10 +02:00
|
|
|
* Fix verilator_profcfunc profile accounting (#3115).
|
2021-09-09 01:31:26 +02:00
|
|
|
* Fix display has no time units on class function (#3116). [Damien Pretet]
|
2021-09-13 21:52:53 +02:00
|
|
|
* Fix removing if statement with side effect in condition (#3131). [Alexander Grobman]
|
2021-09-24 14:48:04 +02:00
|
|
|
* Fix --waiver-output for multiline warnings (#2429) (#3141). [Keith Colbert]
|
2021-10-17 20:35:34 +02:00
|
|
|
* Fix internal error on bad widths (#3140) (#3145). [Zhanglei Wang]
|
2021-09-25 23:10:57 +02:00
|
|
|
* Fix crash on clang 12/13 (#3148). [Kouping Hsu]
|
2021-09-26 22:21:58 +02:00
|
|
|
* Fix cygwin compile error due to missing -std=gnu++14 (#3149). [Sun Kim]
|
2021-10-17 20:35:34 +02:00
|
|
|
* Fix $urandom_range when the range is 0 ... UINT_MAX (#3161). [Iru Cai]
|
|
|
|
|
* Fix constructor-parameter argument comma-separation in C++ (#3162). [Matthew Ballance]
|
2021-10-13 02:20:21 +02:00
|
|
|
* Fix missing install of vl_file_copy/vl_hier_graph (#3165). [Popolon]
|
2021-10-13 03:22:59 +02:00
|
|
|
* Fix calling new with arguments in same class (#3166). [Matthew Ballance]
|
2021-10-14 03:21:51 +02:00
|
|
|
* Fix false EOFNEWLINE warning when DOS carriage returns present (#3171).
|
2021-09-02 03:08:17 +02:00
|
|
|
|
2021-09-24 14:49:16 +02:00
|
|
|
|
2021-09-02 02:58:03 +02:00
|
|
|
Verilator 4.212 2021-09-01
|
2021-07-08 02:50:11 +02:00
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
2021-08-12 22:43:32 +02:00
|
|
|
* Fix re-evaluation of logic dependent on state set in DPI exports (#3091). [Geza Lore]
|
2021-07-25 17:10:55 +02:00
|
|
|
* Support unpacked array localparams in tasks/functions (#3078). [Geza Lore]
|
2021-07-29 14:40:41 +02:00
|
|
|
* Support timeunit/timeprecision in $unit.
|
2021-09-02 02:39:59 +02:00
|
|
|
* Support assignment patterns as children of pins (#3041). [Krzysztof Bieganski]
|
2021-07-25 17:10:55 +02:00
|
|
|
* Add --instr-count-dpi to tune assumed DPI import cost for multithreaded
|
|
|
|
|
model scheduling. Default value changed to 200 (#3068). [Yinan Xu]
|
2021-07-14 23:37:37 +02:00
|
|
|
* Output files are split based on the set of headers required
|
|
|
|
|
in order to aid incremental compilation via ccache (#3071). [Geza Lore]
|
2021-07-22 19:59:03 +02:00
|
|
|
* Parameter values are now emitted as 'static constexpr' instead of enum.
|
|
|
|
|
C++ direct references to parameters might require updating (#3077). [Geza Lore]
|
2021-07-24 16:00:33 +02:00
|
|
|
* Refactored Verilated include files; include verilated.h not verilated_heavy.h.
|
2021-08-24 03:43:54 +02:00
|
|
|
* Add header guards on Dpi.h generated files (#2979). [Tood Strader]
|
2021-09-02 02:39:59 +02:00
|
|
|
* Add XML ccall, constpool, initarray, and if/while begins (#3080). [Steven Hugg]
|
|
|
|
|
* Add error when constant function under a generate (#3103). [Don Owen]
|
2021-07-23 18:16:10 +02:00
|
|
|
* Fix -G to treat simple integer literals as signed (#3060). [Anikin1610]
|
|
|
|
|
* Fix emitted string array initializers (#2895). [Iztok Jeras]
|
2021-08-14 22:09:01 +02:00
|
|
|
* Fix bitop tree optimization dropping necessary & operator (#3096). [Flavien Solt]
|
2021-08-24 02:13:09 +02:00
|
|
|
* Fix internal error on wide -x-initial unique (#3106). [Alexandre Joannou]
|
2021-09-02 02:39:59 +02:00
|
|
|
* Fix traces to show array instances with brackets (#3092) (#3095). [Pieter Kapsenberg]
|
2021-07-08 14:42:25 +02:00
|
|
|
|
2021-07-08 02:50:11 +02:00
|
|
|
|
2021-07-08 02:43:07 +02:00
|
|
|
Verilator 4.210 2021-07-07
|
2021-06-12 19:05:33 +02:00
|
|
|
==========================
|
|
|
|
|
|
2021-06-13 15:33:11 +02:00
|
|
|
**Major:**
|
|
|
|
|
|
|
|
|
|
* Generated code is now emitted as global functions rather than methods. '$c'
|
|
|
|
|
contents might need to be updated, see the docs (#3006). [Geza Lore]
|
Introduce model interface class, make $root part or Syms (#3036)
This patch implements #3032. Verilator creates a module representing the
SystemVerilog $root scope (V3LinkLevel::wrapTop). Until now, this was
called the "TOP" module, which also acted as the user instantiated model
class. Syms used to hold a pointer to this root module, but hold
instances of any submodule. This patch renames this root scope module
from "TOP" to "$root", and introduces a separate model class which is
now an interface class. As the root module is no longer the user
interface class, it can now be made an instance of Syms, just like any
other submodule. This allows absolute references into the root module to
avoid an additional pointer indirection resulting in a potential speedup
(about 1.5% on OpenTitan). The model class now also contains all non
design specific generated code (e.g.: eval loops, trace config, etc),
which additionally simplifies Verilator internals.
Please see the updated documentation for the model interface changes.
2021-06-21 16:30:20 +02:00
|
|
|
* The generated model class instantiated by the user is now an interface
|
|
|
|
|
object and no longer the TOP module instance. User code with direct
|
|
|
|
|
C++ member access to model internals, including verilator public_flat
|
|
|
|
|
items will likely need to be updated. See the manual for instructions:
|
2021-07-08 02:43:07 +02:00
|
|
|
https://verilator.org/guide/latest/connecting.html#porting-from-pre-4-210
|
Introduce model interface class, make $root part or Syms (#3036)
This patch implements #3032. Verilator creates a module representing the
SystemVerilog $root scope (V3LinkLevel::wrapTop). Until now, this was
called the "TOP" module, which also acted as the user instantiated model
class. Syms used to hold a pointer to this root module, but hold
instances of any submodule. This patch renames this root scope module
from "TOP" to "$root", and introduces a separate model class which is
now an interface class. As the root module is no longer the user
interface class, it can now be made an instance of Syms, just like any
other submodule. This allows absolute references into the root module to
avoid an additional pointer indirection resulting in a potential speedup
(about 1.5% on OpenTitan). The model class now also contains all non
design specific generated code (e.g.: eval loops, trace config, etc),
which additionally simplifies Verilator internals.
Please see the updated documentation for the model interface changes.
2021-06-21 16:30:20 +02:00
|
|
|
(#3036). [Geza Lore]
|
2021-06-13 15:33:11 +02:00
|
|
|
|
2021-06-12 19:05:33 +02:00
|
|
|
**Minor:**
|
|
|
|
|
|
2021-07-08 01:12:52 +02:00
|
|
|
* Add --prof-c to pass profiling to compiler (#3059). [Alexander Grobman]
|
2021-06-17 19:49:45 +02:00
|
|
|
* Optimize a lot more model variables into function locals (#3027). [Geza Lore]
|
2021-07-07 23:59:22 +02:00
|
|
|
* Support middle-of-design nested topmodules (#3026). [Dan Petrisko]
|
2021-06-17 05:17:43 +02:00
|
|
|
* Remove deprecated --no-relative-cfuncs option (#3024). [Geza Lore]
|
2021-06-21 18:38:42 +02:00
|
|
|
* Remove deprecated --inhibit-sim option (#3035). [Geza Lore]
|
2021-06-13 16:05:55 +02:00
|
|
|
* Merge const static data globally into a new constant pool (#3013). [Geza Lore]
|
2021-06-19 16:00:31 +02:00
|
|
|
* Allow configure override of AR program (#2999). [ahouska]
|
2021-06-19 19:41:19 +02:00
|
|
|
* In XML, show pinIndex information (#2877). [errae233]
|
2021-06-13 18:38:31 +02:00
|
|
|
* Fix error on unsupported recursive functions (#2957). [Trefor Southwell]
|
2021-07-01 17:37:09 +02:00
|
|
|
* Fix type parameter specialization when struct names are same (#3055). [7FM]
|
2021-07-05 17:35:24 +02:00
|
|
|
* Improve speed of table optimization (-OA) pass. [Geza Lore]
|
2021-06-12 19:05:33 +02:00
|
|
|
|
|
|
|
|
|
2021-06-12 18:36:03 +02:00
|
|
|
Verilator 4.204 2021-06-12
|
2021-05-04 01:34:02 +02:00
|
|
|
==========================
|
|
|
|
|
|
2021-05-04 01:36:53 +02:00
|
|
|
**Minor:**
|
|
|
|
|
|
2021-06-12 18:36:03 +02:00
|
|
|
* Add 'make ccache-report' (#3011). [Geza Lore]
|
2021-06-04 03:15:42 +02:00
|
|
|
* Add --reloop-limit argument (#2943) (#2960). [Geza Lore]
|
2021-06-06 16:27:01 +02:00
|
|
|
* Add --expand-limit argument (#3005). [Julien Margetts]
|
2021-06-04 03:15:42 +02:00
|
|
|
* Add TRACE_THREADS to CMake (#2934). [Jonathan Drolet]
|
2021-05-20 12:30:36 +02:00
|
|
|
* Optimize large lookup tables to static data (#2925). [Geza Lore]
|
2021-06-04 03:15:42 +02:00
|
|
|
* Optimize reloop to accept constant index offsets (#2939). [Geza Lore]
|
2021-05-20 12:30:36 +02:00
|
|
|
* Split always blocks to better respect --output-split-cfuncs. [Geza Lore]
|
2021-06-04 03:15:42 +02:00
|
|
|
* Support ignoring "`pragma protect ..." (#2886). [Udi Finkelstein]
|
|
|
|
|
* Support --trace-fst for SystemC with CMake (#2927). [Jonathan Drolet]
|
|
|
|
|
* Update cmake latest C++ Standard Compilation flag (#2951). [Ameya Vikram Singh]
|
2021-06-12 18:36:03 +02:00
|
|
|
* Prep work towards better ccache hashing/performance. [Geza Lore]
|
2021-06-04 03:15:42 +02:00
|
|
|
* Fix assertion failure in bitOpTree optimization (#2891) (#2899). [Raynard Qiao]
|
|
|
|
|
* Fix DPI functions not seen as vpiModule (#2893). [Todd Strader]
|
|
|
|
|
* Fix bounds check in VL_SEL_IWII (#2910). [Krzysztof Bieganski]
|
2021-06-07 04:09:30 +02:00
|
|
|
* Fix slowdown in elaboration (#2911). [Nathan Graybeal]
|
2021-05-04 01:36:53 +02:00
|
|
|
* Fix initialization of assoc in assoc array (#2914). [myftptoyman]
|
2021-06-04 03:15:42 +02:00
|
|
|
* Fix make support for gmake 3.x (#2920) (#2921). [Philipp Wagner]
|
|
|
|
|
* Fix VPI memory access for packed arrays (#2922). [Todd Strader]
|
2021-06-07 01:32:48 +02:00
|
|
|
* Fix MCD close also closing stdout (#2931). [Alexander Grobman]
|
2021-06-04 03:15:42 +02:00
|
|
|
* Fix split procedures to better respect --output-split-cfuncs (#2942). [Geza Lore]
|
|
|
|
|
* Fix to emit 'else if' without nesting (#2944). [Geza Lore]
|
|
|
|
|
* Fix part select issues in LATCH warning (#2948) (#2938). [Julien Margetts]
|
|
|
|
|
* Fix to not emit empty files with low split limits (#2961). [Geza Lore]
|
2021-05-18 20:28:48 +02:00
|
|
|
* Fix merging of assignments in C++ code (#2970). [Ruper Swarbrick]
|
2021-06-04 03:15:42 +02:00
|
|
|
* Fix unused variable warnings (#2991). [Pieter Kapsenberg]
|
2021-05-31 14:40:22 +02:00
|
|
|
* Fix --protect-ids when using SV classes (#2994). [Geza Lore]
|
2021-06-01 04:46:41 +02:00
|
|
|
* Fix constant function calls with uninit value (#2995). [yanx21]
|
2021-06-12 18:36:03 +02:00
|
|
|
* Fix Makefiles to support Windows EXEEXT usage (#3008). [Miodrag Milanovic]
|
2021-05-04 01:36:53 +02:00
|
|
|
|
2021-05-04 01:34:02 +02:00
|
|
|
|
2021-04-24 16:34:05 +02:00
|
|
|
Verilator 4.202 2021-04-24
|
2021-03-14 19:11:57 +01:00
|
|
|
==========================
|
2021-03-13 18:32:35 +01:00
|
|
|
|
2021-04-12 00:55:06 +02:00
|
|
|
**Major:**
|
|
|
|
|
|
|
|
|
|
* Documentation has been rewritten into a book format.
|
2021-04-24 15:23:53 +02:00
|
|
|
* Verilated signals now use VlWide and VlPacked in place of C arrays.
|
2021-04-12 00:55:06 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2021-03-13 18:47:19 +01:00
|
|
|
|
2021-04-18 16:16:18 +02:00
|
|
|
* Add an URL on warnings to point to the manual's description.
|
2021-03-15 02:23:48 +01:00
|
|
|
* Add EOFNEWLINE warning when missing a newline at EOF.
|
2021-03-17 02:58:15 +01:00
|
|
|
* Changed TIMESCALEMOD from error into a warning.
|
2021-03-17 23:59:45 +01:00
|
|
|
* Mark --no-relative-cfuncs as scheduled for deprecation.
|
2021-03-30 00:54:51 +02:00
|
|
|
* Add --coverage-max-width (#2853). [xuejiazidi]
|
2021-03-20 03:24:00 +01:00
|
|
|
* Add VerilatedCovContext::forcePerInstance (#2793). [Kevin Laeufer]
|
2021-04-24 15:23:53 +02:00
|
|
|
* Add FST SystemC tracing (#2806). [Alex Torregrosa]
|
|
|
|
|
* Add PINNOTFOUND warning in place of error (#2868). [Udi Finkelstein]
|
|
|
|
|
* Support overlaps in priority case statements (#2864). [Rupert Swarbrick]
|
|
|
|
|
* Support for null ports (#2875). [Udi Finkelstein]
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix class unpacked-array compile error (#2774). [Iru Cai]
|
2021-04-24 15:23:53 +02:00
|
|
|
* Fix scope types in FST and VCD traces (#2805). [Alex Torregrosa]
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix exceeding command-line ar limit (#2834). [Yinan Xu]
|
|
|
|
|
* Fix false $dumpfile warning on model save (#2834). [Yinan Xu]
|
2021-03-17 03:42:28 +01:00
|
|
|
* Fix --timescale-override not suppressing TIMESCALEMOD (#2838). [Kaleb Barrett]
|
2021-03-17 03:52:29 +01:00
|
|
|
* Fix false TIMESCALEMOD on generate-ignored instances (#2838). [Kaleb Barrett]
|
2021-03-17 23:25:54 +01:00
|
|
|
* Fix --output-split with class extends (#2839). [Iru Cai]
|
2021-03-24 00:49:57 +01:00
|
|
|
* Fix false WIDTHCONCAT on casted constant (#2849). [Rupert Swarbrick]
|
2021-04-24 15:23:53 +02:00
|
|
|
* Fix tracing of long hashed names (#2854). [Graham Rushton]
|
2021-03-27 04:05:04 +01:00
|
|
|
* Fix --public-flat-rw / DPI issue (#2858). [Todd Strader]
|
|
|
|
|
* Fix interface localparam access (#2859). [Todd Strader]
|
2021-03-27 04:01:58 +01:00
|
|
|
* Fix Cygwin example compile issues (#2856). [Mark Shaw]
|
2021-04-09 01:35:03 +02:00
|
|
|
* Fix select of with index variable (#2880). [Alexander Grobman]
|
2021-04-13 15:06:53 +02:00
|
|
|
* Fix cmake version number to be numeric (#2881). [Yuri Victorovich]
|
2021-04-24 15:23:53 +02:00
|
|
|
* Fix MinGW not supportting 'localtime_r' (#2882). [HyungKi Jeong]
|
|
|
|
|
* Fix cast from packed, typedef'ed interface signal (#2884). [Todd Strader]
|
|
|
|
|
* Fix VPI package reported as vpiModule (#2885). [Todd Strader]
|
|
|
|
|
* Fix dumping waveforms to multiple FST files (#2889). [David Metz]
|
|
|
|
|
* Fix assertion failure in bitOpTree (#2892). [Yutetsu TAKATSUKASA]
|
2021-04-21 15:21:06 +02:00
|
|
|
* Fix V3Premit infinite loop on always read-and-write (#2898). [Raynard Qiao]
|
2021-04-24 15:23:53 +02:00
|
|
|
* Fix VPI packed vectors (#2900). [Todd Strader]
|
|
|
|
|
* Fix VPI public interface parameters (#2901). [Todd Strader]
|
2021-03-13 21:09:10 +01:00
|
|
|
|
2021-03-14 14:08:17 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 4.200 2021-03-12
|
|
|
|
|
==========================
|
2021-03-12 21:52:35 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Announcement:**
|
2021-03-07 17:01:54 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* --inhibit-sim is planned for deprecation, file a bug if this is still being used.
|
2021-03-07 17:01:54 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2021-02-26 03:50:18 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add simulation context (VerilatedContext) to allow multiple fully independent
|
|
|
|
|
models to be in the same process. Please see the updated examples. (#2660)
|
|
|
|
|
* Add context->time() and context->timeInc() API calls, to set simulation time.
|
|
|
|
|
These now are recommended in place of the legacy sc_time_stamp().
|
2021-03-12 19:52:47 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2021-02-28 15:26:06 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Converted Asciidoc documentation into reStructuredText (RST) format.
|
|
|
|
|
* Fix range inheritance on port without data type (#2753). [Embedded Go]
|
|
|
|
|
* Fix slice-assign overflow (#2803) (#2811). [David Turner]
|
|
|
|
|
* Fix interface array connection ordering broken in v4.110 (#2827). [Don Owen]
|
|
|
|
|
* Fix or-reduction on different scopes broken in 4.110 (#2828). [Yinan Xu]
|
|
|
|
|
* Fix MSVC++ compile error. (#2831) (#2833) [Drew Taussig]
|
2021-03-07 17:35:29 +01:00
|
|
|
|
|
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 4.110 2021-02-25
|
|
|
|
|
==========================
|
2021-03-12 01:22:19 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2021-03-11 00:08:11 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Optimize bit operations and others (#2186) (#2632) (#2633) (#2751) (#2800) [Yutetsu TAKATSUKASA]
|
2021-03-12 20:14:21 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Support concat selection (#2721).
|
|
|
|
|
* Support struct scopes when dumping structs to VCD (#2776) [Alex Torregrosa]
|
|
|
|
|
* Generate SELRANGE for potentially unreachable code (#2625) (#2754) [Pierre-Henri Horrein]
|
|
|
|
|
* For --flatten, override inlining of public and no_inline modules (#2761) [James Hanlon]
|
|
|
|
|
* Fix little endian interface pin swizzling (#2475). [Don Owen]
|
|
|
|
|
* Fix range inheritance on port without data type (#2753). [Embedded Go]
|
|
|
|
|
* Fix TIMESCALE warnings on primitives (#2763). [Xuanqi]
|
|
|
|
|
* Fix to exclude strings from toggle coverage (#2766) (#2767) [Paul Wright]
|
|
|
|
|
* Fix $fread extra semicolon inside statements. [Leendert van Doorn]
|
|
|
|
|
* Fix class extends with VM_PARALLEL_BUILDS (#2775). [Iru Cai]
|
|
|
|
|
* Fix shifts by > 32 bit values (#2785). [qrq992]
|
|
|
|
|
* Fix examples not flushing vcd (#2787). [Richard E George]
|
|
|
|
|
* Fix little endian packed array pattern assignment (#2795). [Alex Torregrosa]
|
2020-11-14 16:00:01 +01:00
|
|
|
|
2021-01-10 19:36:46 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 4.108 2021-01-10
|
|
|
|
|
==========================
|
2021-02-25 00:32:15 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2021-02-18 05:03:47 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Many VPI changes for IEEE compatibility, which may alter behavior from previous releases.
|
|
|
|
|
* Support randomize() class method and rand (#2607). [Krzysztof Bieganski]
|
2021-02-25 00:32:15 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2021-02-25 00:32:15 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support $cast and new CASTCONST warning.
|
|
|
|
|
* Add --top option as alias of --top-module.
|
|
|
|
|
* Add LATCH and NOLATCH warnings (#1609) (#2740). [Julien Margetts]
|
|
|
|
|
* Remove Unix::Processors internal test dependency.
|
|
|
|
|
* Report UNUSED on parameters, localparam and genvars (#2627). [Charles Eric LaForest]
|
|
|
|
|
* Add error on real to non-real output pins (#2690). [Peter Monsson]
|
|
|
|
|
* Support package imports before parameters in interfaces (#2714). [James Hanlon]
|
|
|
|
|
* Support --sanitize in internal tests (#2705). [Yutetsu TAKATSUKASA]
|
|
|
|
|
* Fix passing parameter type instantiations by position number.
|
|
|
|
|
* Fix DPI open array handling issues.
|
|
|
|
|
* Fix error when dotted refers to missing module (#2095). [Alexander Grobman]
|
|
|
|
|
* Fix little endian packed array counting (#2499). [phantom-killua]
|
|
|
|
|
* Fix showing reference locations for BLKANDNBLK (#2170). [Yuri Victorovich]
|
|
|
|
|
* Fix genblk naming to match IEEE (#2686). [tinshark]
|
|
|
|
|
* Fix VPI memory word indexing (#2695). [Marlon James]
|
|
|
|
|
* Fix vpiLeftRange on little-endian memories (#2696). [Marlon James]
|
|
|
|
|
* Fix VPI module tree (#2704). [Todd Strader]
|
|
|
|
|
* Fix vpi_release_handle to be called implicitly per IEEE (#2706).
|
|
|
|
|
* Fix to allow inheriting 'VerilatedVcdFile' class. (#2720) [HyungKi Jeong]
|
|
|
|
|
* Fix $urandom_range maximum value (#2723). [Nandu Raj]
|
|
|
|
|
* Fix tracing empty sc module (#2729).
|
|
|
|
|
* Fix generate for unrolling to be signed (#2730). [yanx21]
|
|
|
|
|
* Fix to emit timescale in hierarchical blocks (#2735). [Yutetsu TAKATSUKASA]
|
|
|
|
|
* Fix to ignore coverage on real ports (#2741) (#2745). [Paul Wright]
|
2021-02-25 00:32:15 +01:00
|
|
|
|
2021-02-21 04:11:20 +01:00
|
|
|
|
2021-02-25 00:21:13 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 4.106 2020-12-02
|
|
|
|
|
==========================
|
2021-01-23 16:31:27 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
|
|
|
|
|
|
|
|
|
* Change -sv option to select 1800-2017 instead of 1800-2005.
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Check for proper 'local' and 'protected' (#2228).
|
|
|
|
|
* Support $random and $urandom seeds.
|
|
|
|
|
* Support $monitor and $strobe.
|
|
|
|
|
* Support complex function arguments.
|
|
|
|
|
* Support 'super'.
|
|
|
|
|
* Support 'with item.index'.
|
|
|
|
|
* Fix the default GNU Make executable name on FreeBSD (#2553). [Yuri Victorovich]
|
|
|
|
|
* Fix trace signal names getting hashed (#2643). [Barbara Gigerl]
|
|
|
|
|
* Fix unpacked array parameters near functions (#2639). [Anderson Ignacio da Silva]
|
|
|
|
|
* Fix access to non-overridden base class variable (#2654). [Tobias Rosenkranz]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 4.104 2020-11-14
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Support queue and associative array 'with' statements (#2616).
|
|
|
|
|
* Support queue slicing (#2326).
|
|
|
|
|
* Support associative array pattern assignments and defaults.
|
|
|
|
|
* Support static methods and typedefs in classes (#2615). [Krzysztof Bieganski]
|
|
|
|
|
* Add error on typedef referencing self (#2539). [Cody Piersall]
|
|
|
|
|
* With --debug, turn off address space layout randomization.
|
|
|
|
|
* Fix iteration over mutating list bug in VPI (#2588). [Kaleb Barrett]
|
|
|
|
|
* Fix cast width propagation (#2597). [flex-liu]
|
|
|
|
|
* Fix return from callValueCbs (#2589) (#2605). [Marlon James]
|
|
|
|
|
* Fix WIDTH warnings on comparisons with nullptr (#2602). [Rupert Swarbrick]
|
|
|
|
|
* Fix fault when $fgets, $sscanf, etc used with string (#2604). [Yutetsu TAKATSUKASA]
|
|
|
|
|
* Fix WIFEXITED missing from MinGW/MSYS2 (#2609). [Jean Berniolles]
|
|
|
|
|
* Fix queue poping wrong value when otherwise unused (#2512). [nanduraj1]
|
|
|
|
|
* Fix arrays of modport interfaces (#2614). [Thierry Tambe]
|
|
|
|
|
* Fix split_var internal error (#2640) (#2641). [Yutetsu TAKATSUKASA]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 4.102 2020-10-15
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Support const object new() assignments.
|
|
|
|
|
* Support # as a comment in -f files (#2497). [phantom-killua]
|
|
|
|
|
* Support 'this' (#2585). [Rafal Kapuscik]
|
|
|
|
|
* Support defines for FST tracing (#2592). [Markus Krause]
|
2021-04-12 00:55:06 +02:00
|
|
|
* Support non-overapping implication inside properties (#1292). [Peter Monsson]
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix timescale with --hierarchical (#2554). [Yutetsu TAKATSUKASA]
|
|
|
|
|
* Fix cmake build with --hierarchical (#2560). [Yutetsu TAKATSUKASA]
|
|
|
|
|
* Fix -G dropping public indication (#2561). [Andrew Goessling]
|
|
|
|
|
* Fix $urandom_range passed variable (#2563). [nanduraj1]
|
|
|
|
|
* Fix method calls to package class functions (#2565). [Peter Monsson]
|
|
|
|
|
* Fix class wide member display (#2567). [Nandu Raj P]
|
|
|
|
|
* Fix hierarchical references inside function (#2267) (#2572). [James Pallister]
|
|
|
|
|
* Fix flushCall for backward compatibility (#2580). [chenguokai]
|
|
|
|
|
* Fix preprocessor stringify of undefined macro. [Martin Whitaker]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 4.100 2020-09-07
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Major:**
|
|
|
|
|
|
|
|
|
|
* C++11 or newer compilers are now required.
|
|
|
|
|
* SystemC 2.3.0 or newer (SYSTEMC_VERSION >= 20111121) is now required.
|
|
|
|
|
* Support hierarchical Verilation (#2206). [Yutetsu TAKATSUKASA]
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Support (with limitations) class extern, class extends, virtual class.
|
|
|
|
|
* Support $urandom, $urandom_range without stability.
|
|
|
|
|
* Support assume property. [Peter Monsson]
|
2021-04-12 00:55:06 +02:00
|
|
|
* Support non-overapping implication inside properties (#1292). [Peter Monsson]
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix false DECLFILENAME on black-boxed modules (#2430). [Philipp Wagner]
|
|
|
|
|
* Fix naming of "id : begin" blocks.
|
|
|
|
|
* Fix class constructor error on assignments to const.
|
|
|
|
|
* Fix splitting eval functions with --output-split-cfuncs (#2368). [Geza Lore]
|
|
|
|
|
* Fix queues as class members (#2525). [nanduraj1]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 4.040 2020-08-15
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Announcement:**
|
|
|
|
|
|
|
|
|
|
* Version 4.040 is planned to be the final version that will support
|
|
|
|
|
pre-C++11 compilers. Please move to C++11 or newer compilers.
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Fix arrayed interfaces, broke in 4.038 (#2468). [Josh Redford]
|
|
|
|
|
* Support $stable, $rose and $fell. (#2148) (#2501) [Peter Monsson]
|
|
|
|
|
* Support simple function localparams (#2461). [James Hanlon]
|
|
|
|
|
* Miscellaneous parsing error changes towards UVM support.
|
|
|
|
|
* Fix arrayed interfaces (#2469). [Josh Redford]
|
|
|
|
|
* Fix protect lib VCS warning. (#2479) [Julien Margetts]
|
|
|
|
|
* Fix combining different-width parameters (#2484). [abirkmanis]
|
|
|
|
|
* Fix protect-lib without sequential logic (#2492). [Yutetsu TAKATSUKASA]
|
|
|
|
|
* Fix V3Unknown from running with flat XML output (#2494). [James Hanlon]
|
|
|
|
|
* Fix non-32 bit conversion to float (#2495). [dsvf]
|
|
|
|
|
* Fix casting non-self-determined subexpressions (#2493). [phantom-killua]
|
|
|
|
|
* Fix SystemC net names (#2500). [Edgar E. Iglesias]
|
|
|
|
|
* Fix build with Bison 3.7 and newer (#2505). [Rupert Swarbrick]
|
|
|
|
|
* Fix slice of unpacked array (#2506) (#2507). [Yutetsu TAKATSUKASA]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 4.038 2020-07-11
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Announcement:**
|
|
|
|
|
|
|
|
|
|
* Versions 4.038 and 4.040 are planned to be the final versions that will
|
|
|
|
|
support pre-C++11 compilers. Please move to C++11 or newer compilers.
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Support VPI access to parameters and localparam. [Ludwig Rogiers]
|
|
|
|
|
* Support parsing (not elaboration, yet) of UVM.
|
|
|
|
|
* Add new UNSUPPORTED error code to replace most previous Unsupported: messages.
|
|
|
|
|
* With --bbox-unsup continue parsing on many (not all) UVM constructs.
|
|
|
|
|
* Support for-loop increments with commas.
|
|
|
|
|
* Support $swrite with arbitrary arguments.
|
|
|
|
|
* Support $writememb (#2450). [Fan Shupei]
|
|
|
|
|
* Fix OS X, Free BSD, and -m32 portability issues. [Geza Lore]
|
|
|
|
|
* Fix to flush FST trace on termination due to $stop or assertion failure.
|
|
|
|
|
* Fix part select error when multipling by power-of-two (#2413). [Conor McCullough]
|
|
|
|
|
* Fix division exception (#2460) [Kuoping Hsu]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 4.036 2020-06-06
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Major:**
|
|
|
|
|
|
|
|
|
|
* OPT_FAST is now -Os by default. See the BENCHMARKING & OPTIMIZATION part
|
|
|
|
|
of the manual if you experience issues with compilation speed.
|
|
|
|
|
* --output-split is now on by default. VM_PARALLEL_BUILDS is set by default
|
|
|
|
|
iff the --output-split caused an actual file split to
|
|
|
|
|
occur. --output-split-cfuncs and --output-split-ctrace now default to
|
|
|
|
|
the value of --output-split. These changes should improve build times of
|
|
|
|
|
medium and large designs with default options. User makefiles may require
|
|
|
|
|
changes.
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Configure now enables SystemC if it is installed as a system headers,
|
|
|
|
|
e.g. with 'apt-get install systemc-dev'.
|
|
|
|
|
* Add --waiver-output flag that writes a verilator config file (.vlt) with
|
|
|
|
|
waivers to the warnings emitted during a Verilator run.
|
|
|
|
|
* Support verilator_coverage --write-info for lcov HTML reports.
|
|
|
|
|
* Line Coverage now tracks all statement lines, not just branch lines.
|
|
|
|
|
* The run-time library is now compiled with -Os by default. (#2369, #2373)
|
|
|
|
|
* Support multi channel descriptor I/O (#2190) [Stephen Henry]
|
|
|
|
|
* Support $countbits. (#2287) [Yossi Nivin]
|
|
|
|
|
* Support $isunbounded and parameter $. (#2104)
|
|
|
|
|
* Support unpacked array .sum and .product.
|
|
|
|
|
* Support prefix/postfix increment/decrement. (#2223) [Maciej Sobkowski]
|
|
|
|
|
* Fix FST tracing of little bit endian signals. [Geza Lore]
|
|
|
|
|
* Fix +: and -: on unpacked arrays. (#2304) [engr248]
|
|
|
|
|
* Fix $isunknown with constant Z's.
|
|
|
|
|
* Fix queues and dynamic array wide ops. (#2352) [Vassilis Papaefstathiou]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 4.034 2020-05-03
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Major:**
|
|
|
|
|
|
|
|
|
|
* Support simplistic classes with many restrictions, see manual. (#377)
|
|
|
|
|
* Support IEEE time units and time precisions. (#234)
|
|
|
|
|
Includes `timescale, $printtimescale, $timeformat.
|
|
|
|
|
VL_TIME_MULTIPLIER, VL_TIME_PRECISION, VL_TIME_UNIT have been removed
|
|
|
|
|
and the time precision must now match the SystemC time precision. To
|
|
|
|
|
get closer behavior to older versions, use e.g. --timescale-override
|
|
|
|
|
"1ps/1ps".
|
|
|
|
|
* Add --build to call make automatically. (#2249) [Yutetsu TAKATSUKASA]
|
|
|
|
|
* Configuring with ccache present now defaults to using it; see OBJCACHE.
|
|
|
|
|
* Fix DPI import/export to be standard compliant. (#2236) [Geza Lore]
|
|
|
|
|
* Add --trace-threads for general multithreaded tracing. (#2269) [Geza Lore]
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Add --flatten for use with --xml-only. (#2270) [James Hanlon]
|
|
|
|
|
* Greatly improve FST/VCD dump performance (#2244) (#2246) (#2250) (#2257) [Geza Lore]
|
|
|
|
|
* Support $ferror, and $fflush without arguments. (#1638)
|
|
|
|
|
* Support event data type (with some restrictions).
|
|
|
|
|
* Support $root. (#2150) [Keyi Zhang]
|
|
|
|
|
* Add error if use SystemC 2.2 and earlier (pre-2011) as is deprecated.
|
2021-06-12 18:36:03 +02:00
|
|
|
* Add support of --trace-structs for CMake (#2986). [Martin Schmidt]
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix arrayed instances connecting to slices. (#2263) [Don/engr248]
|
|
|
|
|
* Fix error on unpacked connecting to packed. (#2288) [Joseph Shaker]
|
|
|
|
|
* Fix logical not optimization with empty begin. (#2291) [Baltazar Ortiz]
|
|
|
|
|
* Fix reduction OR on wide data, broke in v4.026. (#2300) [Jack Koenig]
|
|
|
|
|
* Fix clock enables with bit-extends. (#2299) [Marco Widmer]
|
|
|
|
|
* Fix MacOs Homebrew by removing default LIBS. (#2298) [Ryan Clarke]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 4.032 2020-04-04
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Add column numbers to errors and warnings.
|
|
|
|
|
* Add GCC 9-style line number prefix when showing source text for errors.
|
|
|
|
|
* Add setting VM_PARALLEL_BUILDS=1 when using --output-split. (#2185)
|
|
|
|
|
* Change --quiet-exit to also suppress 'Exiting due to N errors'.
|
|
|
|
|
* Suppress REALCVT for whole real numbers.
|
|
|
|
|
* Support split_var in vlt files. (#2219) [Marco Widmer]
|
|
|
|
|
* Fix parameter type redeclaring a type. (#2195) [hdzhangdoc]
|
|
|
|
|
* Fix VCD open with empty filename. (#2198) [Julius Baxter]
|
|
|
|
|
* Fix packages as enum base types. (#2202) [Driss Hafdi]
|
|
|
|
|
* Fix duplicate typedefs in generate for. (#2205) [hdzhangdoc]
|
|
|
|
|
* Fix MinW portability. (#2114) [Sean Cross]
|
|
|
|
|
* Fix assertions with unique case inside. (#2199) [hdzhangdoc]
|
|
|
|
|
* Fix implicit conversion of floats to wide integers.
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 4.030 2020-03-08
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Major:**
|
|
|
|
|
|
|
|
|
|
* Add split_var metacomment to assist UNOPTFLAT fixes. (#2066) [Yutetsu TAKATSUKASA]
|
|
|
|
|
* Support $dumpfile and $dumpvars. (#2126) [Alexander Grobman]
|
|
|
|
|
* Support dynamic arrays. (#379)
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Add +verilator+noassert flag to disable assertion checking. [Tobias Wölfel]
|
|
|
|
|
* Add check for assertOn for asserts. (#2162) [Tobias Wölfel]
|
|
|
|
|
* Add --structs-packed for forward compatibility.
|
|
|
|
|
* Support $displayb/o/h, $writeb/o/h, etc. (#1637)
|
|
|
|
|
* Use gcc -Os in examples instead of -O2 for better average performance.
|
|
|
|
|
* Fix genblk naming with directly nested generate blocks. (#2176) [Alexander Grobman]
|
|
|
|
|
* Fix undeclared VL_SHIFTR_WWQ. (#2114) [Alex Solomatnikov]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 4.028 2020-02-08
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Major:**
|
|
|
|
|
|
|
|
|
|
* Support attributes (public, isolate_assignments, etc.) in configuration files.
|
|
|
|
|
* Add -match to lint_off to waive warnings. [Philipp Wagner]
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Link Verilator binary partially statically. (#2146) [Geza Lore]
|
|
|
|
|
* Verilation speed improvements (#2133) (#2138) [Geza Lore]
|
|
|
|
|
* Support libgoogle-perftools-dev's libtcmalloc if available. (#2137) [Geza Lore]
|
|
|
|
|
* Support $readmem/$writemem with assoc arrarys. (#2100) [agrobman]
|
|
|
|
|
* Support type(expression) operator and $typename. (#1650)
|
|
|
|
|
* Support left justified $display. (#2101) [Pieter Kapsenberg]
|
|
|
|
|
* Support string character access via indexing.
|
|
|
|
|
* Support enum.next(k) with constant k > 1. (#2125) [Tobias Rosenkranz]
|
|
|
|
|
* Support parameter access from arrays of interfaces. (#2155) [Todd Strader]
|
|
|
|
|
* Add parameter values in XML. #2110. [Pieter Kapsenberg]
|
|
|
|
|
* Add loc column location in XML (replaces fl). (#2122) [Pieter Kapsenberg]
|
|
|
|
|
* Add error on misused define. [Topa Tota]
|
|
|
|
|
* Add parameter to set maximum signal width. (#2082) [Øyvind Harboe]
|
2021-04-13 15:06:53 +02:00
|
|
|
* Add warning on genvar in normal for loop. (#2143) [Yuri Victorovich]
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix VPI scope naming for public modules. [Nandu Raj]
|
|
|
|
|
* Fix FST tracing of enums inside structs. [fsiegle]
|
|
|
|
|
* Fix WIDTH warning on </<= of narrower value. (#2141) [agrobman]
|
|
|
|
|
* Fix OpenSolaris issues. (#2154) [brancoliticus]
|
|
|
|
|
* Fix gated clocks under --protect-lib. (#2169) [Todd Strader]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 4.026 2020-01-11
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Major:**
|
|
|
|
|
|
|
|
|
|
* Docker images are now available for Verilator releases.
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Support bounded queues.
|
2021-04-12 00:55:06 +02:00
|
|
|
* Support non-overlapping implication operator in assertions. (#2069) [Peter Monsson]
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support string compare, ato*, etc methods. (#1606) [Yutetsu TAKATSUKASA]
|
|
|
|
|
* Support immediate cover statements.
|
|
|
|
|
* Ignore `uselib to end-of-line. (#1634) [Frederic Antonin]
|
|
|
|
|
* Update FST trace API for better performance.
|
|
|
|
|
* Add vpiTimeUnit and allow to specify time as string. (#1636) [Stefan Wallentowitz]
|
|
|
|
|
* Add error when `resetall inside module (IEEE 2017-22.3).
|
|
|
|
|
* Add cleaner error on version control conflicts in sources.
|
|
|
|
|
* Fix little endian cell ranges. (#1631) [Julien Margetts]
|
|
|
|
|
* Fix queue issues (#1641) (#1643) [Peter Monsson, Stefan Wallentowitz]
|
|
|
|
|
* Fix strcasecmp for windows. (#1651) [Kuba Ober]
|
|
|
|
|
* Fix disable iff in assertions. Closes #1404. [Peter Monsson]
|
|
|
|
|
* Fix huge case statement performance. Closes #1644. [Julien Margetts]
|
|
|
|
|
* Fix tracing -1 index arrays. Closes #2090. [Yutetsu Takatsukasa]
|
|
|
|
|
* Fix expand optimization slowing --lint-only. Closes #2091. [Thomas Watts]
|
|
|
|
|
* Fix %{number}s with strings. #2093. [agrobman]
|
|
|
|
|
* Fix shebang breaking some shells. Closes #2067. [zdave]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 4.024 2019-12-08
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Major:**
|
|
|
|
|
|
|
|
|
|
* Support associative arrays (excluding [*] and pattern assignments). (#544)
|
|
|
|
|
* Support queues (excluding {} notation and pattern assignments). (#545)
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Add +verilator+error+limit to see more assertion errors. [Peter Monsson]
|
|
|
|
|
* Support string.toupper and string.tolower.
|
|
|
|
|
* Support $rewind and $ungetc.
|
|
|
|
|
* Support shortreal as real, with a SHORTREAL warning.
|
|
|
|
|
* Add -Wpedantic and -Wno-context for compliance testing.
|
|
|
|
|
* Add error on redefining preprocessor directives. [Piotr Binkowski]
|
|
|
|
|
* Support $value$plusargs float and shorts. (#1592) (#1619) [Garrett Smith]
|
|
|
|
|
* Fix gate lvalue optimization error. (#831) [Jonathon Donaldson, Driss Hafdi]
|
|
|
|
|
* Fix color assertion on empty if. (#1604) [Andrew Holme]
|
|
|
|
|
* Fix for loop missing initializer. (#1605) [Andrew Holme]
|
|
|
|
|
* Fix hang on concat error. (#1608) [Bogdan Vukobratovic]
|
|
|
|
|
* Fix VPI timed callbacks to be one-shot, pull5. [Matthew Ballance]
|
|
|
|
|
* Fix // in filenames. (#1610) [Peter Nelson]
|
|
|
|
|
* Fix $display("%p") to be closer to IEEE.
|
|
|
|
|
* Fix labels on functions with returns. (#1614) [Mitch Hayenga]
|
|
|
|
|
* Fix false unused message on __Vemumtab. (#2061) [Tobias Rosenkranz]
|
|
|
|
|
* Fix assertion on dotted parameter arrayed function. (#1620) [Rich Porter]
|
|
|
|
|
* Fix interface reference tracing. (#1595) [Todd Strader]
|
|
|
|
|
* Fix error on unpacked concatenations. (#1627) [Driss Hafdi]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 4.022 2019-11-10
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Major:**
|
|
|
|
|
|
|
|
|
|
* Add --protect-lib. (#1490) [Todd Strader]
|
|
|
|
|
* Add cmake support. (#1363) [Patrick Stewart]
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Examples have been renamed.
|
|
|
|
|
* Add --protect-ids to obscure information in objects. (#1521) [Todd Strader]
|
|
|
|
|
* Add --trace-coverage.
|
|
|
|
|
* Add --xml-output.
|
|
|
|
|
* Support multithreading on Windows. [Patrick Stewart]
|
|
|
|
|
* Suppress 'command failed' on normal errors.
|
|
|
|
|
* Support some unpacked arrays in parameters. (#1315) [Marshal Qiao]
|
|
|
|
|
* Add interface port visibility in traces. (#1594) [Todd Strader]
|
|
|
|
|
* Increase case duplicate/incomplete to 16 bit tables. (#1545) [Yossi Nivin]
|
|
|
|
|
* Support quoted arguments in -f files. (#1535) [Yves Mathieu]
|
|
|
|
|
* Optimize modulus by power-of-two constants, and masked conditionals.
|
|
|
|
|
* Fix detecting missing reg types. (#1570) [Jacko Dirks]
|
|
|
|
|
* Fix multithreaded yield behavior when no work. [Patrick Stewart]
|
|
|
|
|
* Fix bad-syntax crashes. (#1548, #1550-#1553, #1557-#1560, #1563,
|
|
|
|
|
#1573-#1577, #1579, #1582-#1591) [Eric Rippey]
|
|
|
|
|
* Fix false CMPCONST/UNSIGNED warnings on "inside". (#1581) [Mitch Hayenga]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 4.020 2019-10-06
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Add --public-flat-rw. (#1511) [Stefan Wallentowitz]
|
|
|
|
|
* Support $fseek, $ftell, $frewind. (#1496) [Howard Su]
|
|
|
|
|
* Support vpiModule. (#1469) [Stefan Wallentowitz]
|
|
|
|
|
* Make Syms file honor --output-split-cfuncs. (#1499) [Todd Strader]
|
|
|
|
|
* Fix make test with no VERILATOR_ROOT. (#1494) [Ahmed El-Mahmoudy]
|
|
|
|
|
* Fix error on multidimensional cells. (#1505) [Anderson Ignacio Da Silva]
|
|
|
|
|
* Fix config_rev revision detection on old versions.
|
|
|
|
|
* Fix false warning on backward indexing. (#1507) [Hao Shi]
|
|
|
|
|
* Fix vpiType accessor. (#1509) (#1510) [Stefan Wallentowitz]
|
|
|
|
|
* Fix ugly error on interface misuse. (#1525) [Bogdan Vukobratovic]
|
|
|
|
|
* Fix misc bad-syntax crashes. (#1529) (#1530) (#1531) (#1532) (#1533) [Eric Rippey]
|
|
|
|
|
* Fix case statements with strings. (#1536) [Philipp Wagner]
|
|
|
|
|
* Fix some coverage lost when multithreaded. (#2151)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 4.018 2019-08-29
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Major:**
|
|
|
|
|
|
|
|
|
|
* When showing an error, show source code and offer suggestions of replacements.
|
|
|
|
|
* When showing an error, show the instance location. (#1305) [Todd Strader]
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Add --rr. (#1481) [Todd Strader]
|
|
|
|
|
* Change MULTITOP to warning to help linting, see manual.
|
|
|
|
|
* Add XSim support to driver.pl. (#1493) [Todd Strader]
|
|
|
|
|
* Add --dpi-hdr-only. (#1491) [Todd Strader]
|
|
|
|
|
* Show included-from filenames in warnings. (#1439) [Todd Strader]
|
|
|
|
|
* Fix elaboration time errors. (#1429) [Udi Finkelstein]
|
|
|
|
|
* Fix not reporting some duplicate signals/ports. (#1462) [Peter Gerst]
|
|
|
|
|
* Fix not in array context on non-power-of-two slices. (#2027) [Yu Sheng Lin]
|
|
|
|
|
* Fix system compile flags injection. [Gianfranco Costamagna]
|
|
|
|
|
* Fix enum values not being sized based on parent. (#1442) [Dan Petrisko]
|
|
|
|
|
* Fix internal error on gate optimization of assign. (#1475) [Oyvind Harboe]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 4.016 2019-06-16
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Add --quiet-exit. (#1436) [Todd Strader]
|
|
|
|
|
* Error continuation lines no longer have %Error prefix.
|
|
|
|
|
* Support logical equivalence operator <->.
|
|
|
|
|
* Support VerilatedFstC set_time_unit. (#1433) [Pieter Kapsenberg]
|
|
|
|
|
* Support deferred assertions. (#1449) [Charles Eddleston]
|
|
|
|
|
* Mark infrequently called functions with GCC cold attribute.
|
|
|
|
|
* Fix sign-compare warning in verilated.cpp. (#1437) [Sergey Kvachonok]
|
|
|
|
|
* Fix fault on $realtime with %t. (#1443) [Julien Margetts]
|
|
|
|
|
* Fix $display with string without %s. (#1441) [Denis Rystsov]
|
|
|
|
|
* Fix parameter function string returns. (#1441) [Denis Rystsov]
|
|
|
|
|
* Fix invalid XML output due to special chars. (#1444) [Kanad Kanhere]
|
|
|
|
|
* Fix performance when mulithreaded on 1 CPU. (#1455) [Stefan Wallentowitz]
|
|
|
|
|
* Fix type and real parameter issues (#1427) (#1456) (#1458) [Todd Strader]
|
|
|
|
|
* Fix build error on MinGW. (#1460) [Richard Myers]
|
|
|
|
|
* Fix not reporting some duplicate signals. (#1462) [Peter Gerst]
|
|
|
|
|
* Fix --savable invalid C++ on packed arrays. (#1465) [Alex Chadwick]
|
|
|
|
|
* Fix constant function return of function var. (#1467) [Roman Popov]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 4.014 2019-05-08
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Add --trace-fst-thread.
|
|
|
|
|
* Support '#' comments in $readmem. (#1411) [Frederick Requin]
|
|
|
|
|
* Support "'dx" constants. (#1423) [Udi Finkelstein]
|
|
|
|
|
* For FST tracing use LZ4 compression. [Tony Bybell]
|
|
|
|
|
* Add error when use parameters without value. (#1424) [Peter Gerst]
|
|
|
|
|
* Auto-extend and WIDTH warn on unsized X/Zs. (#1423) [Udi Finkelstein]
|
2021-04-03 19:11:26 +02:00
|
|
|
* Fix missing VL_SHIFTL errors. (#1412) (#1415) [Larry Lee]
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix MinGW GCC 6 printf formats. (#1413) [Sergey Kvachonok]
|
|
|
|
|
* Fix test problems when missing fst2vcd. (#1417) [Todd Strader]
|
|
|
|
|
* Fix GTKWave register warning. (#1421) [Pieter Kapsenberg]
|
|
|
|
|
* Fix FST enums not displaying. (#1426) [Danilo Ramos]
|
|
|
|
|
* Fix table compile error with multiinterfaces. (#1431) [Bogdan Vukobratovic]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 4.012 2019-03-23
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Add +verilator+seed. (#1396) [Stan Sokorac]
|
|
|
|
|
* Support $fread. [Leendert van Doorn]
|
|
|
|
|
* Support void' cast on functions called as tasks. (#1383) [Al Grant]
|
|
|
|
|
* Add IGNOREDRETURN warning. (#1383)
|
|
|
|
|
* Report PORTSHORT errors on concat constants. (#1400) [Will Korteland]
|
|
|
|
|
* Fix VERILATOR_GDB being ignored. (#2017) [Yu Sheng Lin]
|
|
|
|
|
* Fix $value$plus$args missing verilated_heavy.h. [Yi-Chung Chen]
|
|
|
|
|
* Fix MSVC compile error. (#1406) [Benjamin Gartner]
|
|
|
|
|
* Fix maintainer test when no Parallel::Forker. (#1977) [Enzo Chi]
|
|
|
|
|
* Fix +1364-1995ext flags applying too late. (#1384) [Al Grant]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 4.010 2019-01-27
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Removed --trace-lxt2, use --trace-fst instead.
|
|
|
|
|
* For --xml, add additional information. (#1372) [Jonathan Kimmitt]
|
|
|
|
|
* Add circular typedef error. (#1388) [Al Grant]
|
|
|
|
|
* Add unsupported for loops error. (#1986) [Yu Sheng Lin]
|
|
|
|
|
* Fix FST tracing of wide arrays. (#1376) [Aleksander Osman]
|
|
|
|
|
* Fix error when pattern assignment has too few elements. (#1378) [Viktor Tomov]
|
|
|
|
|
* Fix error when no modules in $unit. (#1381) [Al Grant]
|
|
|
|
|
* Fix missing too many digits warning. (#1380) [Jonathan Kimmitt]
|
|
|
|
|
* Fix uninitialized data in verFiles and unroller. (#1385) (#1386) [Al Grant]
|
|
|
|
|
* Fix internal error on xrefs into unrolled functions. (#1387) [Al Grant]
|
|
|
|
|
* Fix DPI export void compiler error. (#1391) [Stan Sokorac]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 4.008 2018-12-01
|
|
|
|
|
==========================
|
2021-02-25 00:32:15 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Support "ref" and "const ref" pins and functions. (#1360) [Jake Longo]
|
|
|
|
|
* In --xml-only show the original unmodified names, and add module_files
|
|
|
|
|
and cells similar to Verilog-Perl, msg2719. [Kanad Kanhere]
|
|
|
|
|
* Add CONTASSREG error on continuous assignments to regs. (#1369) [Peter Gerst]
|
|
|
|
|
* Add PROCASSWIRE error on behavioral assignments to wires, msg2737. [Neil Turton]
|
|
|
|
|
* Add IMPORTSTAR warning on import::* inside $unit scope.
|
|
|
|
|
* Fix --trace-lxt2 compile error on MinGW. (#1990) [HyungKi Jeong]
|
|
|
|
|
* Fix hang on bad pattern keys. (#1364) [Matt Myers]
|
|
|
|
|
* Fix crash due to cygwin bug in getline. (#1349) [Affe Mao]
|
|
|
|
|
* Fix __Slow files getting compiled with OPT_FAST. (#1370) [Thomas Watts]
|
2021-01-27 04:20:16 +01:00
|
|
|
|
2021-02-13 23:29:34 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 4.006 2018-10-27
|
|
|
|
|
==========================
|
2021-02-14 17:15:12 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Add --pp-comments. (#1988) [Robert Henry]
|
|
|
|
|
* Add --dump-defines.
|
|
|
|
|
* For --trace-fst, save enum decoding information. (#1358) [Sergi Granell]
|
|
|
|
|
(To visualize enumeration data you must use GTKwave 3.3.95 or newer.)
|
2021-04-03 19:11:26 +02:00
|
|
|
* For --trace-fst, combine hier information into FST. [Tony Bybell]
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix --trace-lxt2 compile error on MinGW, msg2667. [HyungKi Jeong]
|
|
|
|
|
* Fix Windows .exe not found. (#1361) [Patrick Stewart]
|
2021-02-13 23:06:53 +01:00
|
|
|
|
2021-02-21 02:29:28 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 4.004 2018-10-06
|
|
|
|
|
==========================
|
2021-01-10 19:36:46 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2020-12-03 02:19:04 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add GTKWave FST native tracing. (#1356) [Sergi Granell]
|
|
|
|
|
(Verilator developers need to pull the latest vcddiff.)
|
2021-01-10 19:14:25 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-12-08 01:49:50 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support $past. [Dan Gisselquist]
|
|
|
|
|
* Support restrict. (#1350) [Clifford Wolf]
|
|
|
|
|
* Rename include/lxt2 to include/gtkwave.
|
|
|
|
|
* Fix replication of 64-bit signal change detects.
|
|
|
|
|
* Fix Mac OSX 10.13.6 / LLVM 9.1 compile issues. (#1348) [Kevin Kiningham]
|
|
|
|
|
* Fix MinGW compile issues. (#1979) [HyungKi Jeong]
|
2020-12-06 04:58:36 +01:00
|
|
|
|
2020-12-05 22:58:17 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 4.002 2018-09-16
|
|
|
|
|
==========================
|
2021-01-10 19:14:25 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2021-01-10 19:14:25 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* This is a major release. Any patches may require major rework to apply.
|
|
|
|
|
[Thanks everyone]
|
|
|
|
|
* Add multithreaded model generation.
|
|
|
|
|
* Add runtime arguments.
|
|
|
|
|
* Add GTKWave LXT2 native tracing. (#1333) [Yu Sheng Lin]
|
|
|
|
|
* Note $random has new algorithm; results may vary vs. previous versions.
|
2020-12-08 01:49:50 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-12-13 19:33:48 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Better optimize large always block splitting. (#1244) [John Coiner]
|
|
|
|
|
* Add new reloop optimization for repetitive assignment compression.
|
|
|
|
|
* Support string.atoi and similar methods. (#1289) [Joel Holdsworth]
|
|
|
|
|
* Fix internals to be C++ null-pointer-check clean.
|
|
|
|
|
* Fix internals to avoid 'using namespace std'.
|
|
|
|
|
* Fix Verilation performance issues. (#1316) [John Coiner]
|
|
|
|
|
* Fix clocker attributes to not propagate on concats. [John Coiner]
|
|
|
|
|
* Fix first clock edge and --x-initial-edge. (#1327) [Rupert Swarbrick]
|
|
|
|
|
* Fix compile error on tracing of string arrays. (#1338) [Iztok Jeras]
|
|
|
|
|
* Fix number parsing with newline after radix. (#1340) [George Cuan]
|
|
|
|
|
* Fix string ?: conditional type resolution. (#1345) [Iztok Jeras]
|
|
|
|
|
* Fix duplicate symbol error on generate tri. (#1347) [Tomas Dzetkulic]
|
2021-01-10 19:14:25 +01:00
|
|
|
|
|
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.926 2018-08-22
|
|
|
|
|
==========================
|
2020-12-05 16:59:33 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-12-10 04:15:34 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add OBJCACHE envvar support to examples and generated Makefiles.
|
|
|
|
|
* Change MODDUP errors to warnings. (#1969) [Marshal Qiao]
|
|
|
|
|
* Fix define argument stringification (`"), broke since 3.914. [Joe DErrico]
|
|
|
|
|
* Fix to ignore Unicode UTF-8 BOM sequences. (#1967) [HyungKi Jeong]
|
|
|
|
|
* Fix std:: build error. (#1322)
|
|
|
|
|
* Fix function inlining inside certain while loops. (#1330) [Julien Margetts]
|
2020-12-13 22:23:59 +01:00
|
|
|
|
|
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.924 2018-06-12
|
|
|
|
|
==========================
|
2020-12-10 05:07:11 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-12-12 18:56:59 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Renamed --profile-cfuncs to --prof-cfuncs.
|
|
|
|
|
* Report interface ports connected to wrong interface. (#1294) [Todd Strader]
|
|
|
|
|
* When tracing, use scalars on single bit arrays to appease vcddiff.
|
|
|
|
|
* Fix parsing "output signed" in V2K port list, msg2540. [James Jung]
|
|
|
|
|
* Fix parsing error on bad missing #. (#1308) [Dan Kirkham]
|
|
|
|
|
* Fix $clog2 to be in verilog 2005. (#1319) [James Hutchinson]
|
2021-01-10 19:14:25 +01:00
|
|
|
|
2021-01-03 04:00:13 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.922 2018-03-17
|
|
|
|
|
==========================
|
2021-01-10 19:14:25 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2020-12-19 03:16:57 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support IEEE 1800-2017 as default language.
|
2021-01-10 19:14:25 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2021-01-10 19:14:25 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support trig functions ($sin() etc). (#1281) [Patrick Stewart]
|
|
|
|
|
* Support calling system functions as tasks. (#1285) [Joel Holdsworth]
|
|
|
|
|
* Support assert properties. (#785) (#1290) [John Coiner, et al]
|
|
|
|
|
* Support $writememh. [John Coiner]
|
|
|
|
|
* Add --no-debug-leak to reduce memory use under debug. [John Coiner]
|
|
|
|
|
* Fix severe runtime performance bug in certain foreach loops. [John Coiner]
|
|
|
|
|
* On convergence errors, show activity. [John Coiner]
|
|
|
|
|
* Fix GCC 8.0 issues. (#1273)
|
|
|
|
|
* Fix pullup/pulldowns on bit selects. (#1274) [Rob Stoddard]
|
|
|
|
|
* Fix verilator_coverage --annotate-min. (#1284) [Tymoteusz Blazejczyk]
|
|
|
|
|
* Fix quoting of quoted arguments. [John Coiner]
|
2020-12-28 17:13:58 +01:00
|
|
|
|
2021-01-03 03:43:13 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.920 2018-02-01
|
|
|
|
|
==========================
|
2021-01-10 19:14:25 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Announcement:**
|
2021-01-10 19:14:25 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Moving forward, use the git "stable" branch to track the latest release,
|
|
|
|
|
and git "v#.###" tags for specific releases.
|
2021-01-10 19:14:25 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Support 'assume' similar to 'assert'. (#1269) [Dan Gisselquist]
|
|
|
|
|
* Remove c++filt. (#1265) [Stefan Wallentowitz]
|
|
|
|
|
* Fix tracing example file output. (#1268) [Enzo Chi]
|
|
|
|
|
* Fix gate optimization out of memory, add --gate-stmts. (#1260) [Alex Solomatnikov]
|
|
|
|
|
* Fix compile error on public real parameters by suppressing. (#1261) [Alex Solomatnikov]
|
|
|
|
|
* Fix input-only tristate comparisons. (#1267) [Alexis G]
|
|
|
|
|
* Fix missing edge type in xml output. (#1955) [Alexis G]
|
|
|
|
|
* Fix compile error with --public and interface bind. (#1264) [Alexis G]
|
2020-12-03 02:19:04 +01:00
|
|
|
|
2020-11-14 16:00:01 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.918 2018-01-02
|
|
|
|
|
==========================
|
2020-11-28 19:46:14 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-11-28 03:49:47 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Workaround GCC/clang bug with huge compile times. (#1248)
|
|
|
|
|
* Support DPI open arrays. (#909) (#1245) [David Pierce, Victor Besyakov]
|
|
|
|
|
* Add INFINITELOOP warning. (#1254) [Alex Solomatnikov]
|
|
|
|
|
* Support > 64 bit decimal $display.
|
|
|
|
|
* Support DPI time and svLogicVal. [Victor Besyakov]
|
|
|
|
|
Note older version incorrectly assumed svBitVal even for logicals.
|
|
|
|
|
* Support string len() method. [Victor Besyakov]
|
|
|
|
|
* Add error if always_comb has sensitivity list. [Arjen Roodselaar]
|
|
|
|
|
* Fix SystemC 2.3.2 compile error. (#1251) [Tymoteusz Blazejczyk]
|
|
|
|
|
* Fix modport outputs being treated as inputs. (#1246) [Jeff Bush]
|
|
|
|
|
* Fix false ALWCOMBORDER on interface references. (#1247) [Josh Redford]
|
|
|
|
|
* Fix constant propagation across DPI imports of inout strings. [Victor Besyakov]
|
|
|
|
|
* Fix resolving inline nested interface names. (#1250) [Arjen Roodselaar]
|
|
|
|
|
* Fix GCC false warning on array bounds. (#2386)
|
2020-11-20 03:32:33 +01:00
|
|
|
|
2020-11-29 17:31:38 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.916 2017-11-25
|
|
|
|
|
==========================
|
2020-11-28 19:46:14 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-11-26 17:06:59 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support self-recursive modules. (#659) [Sean Moore, et al]
|
|
|
|
|
* Support $error/$warning in elaboration time blocks.
|
|
|
|
|
* Support $size/$bits/etc on type references.
|
|
|
|
|
* Add error when driving input-only modport. (#1110) [Trevor Elbourne]
|
|
|
|
|
* Add BSSPACE and COLONPLUS lint warnings.
|
|
|
|
|
* Detect MSB overflow when under VL_DEBUG. (#1238) [Junyi Xi]
|
|
|
|
|
* Add data types to --xml. [Rui Terra]
|
|
|
|
|
* Fix partial slicing with pattern assignments. (#991) [Johan Bjork]
|
|
|
|
|
* Fix false unused warning on interfaces. (#1241) [Laurens van Dam]
|
|
|
|
|
* Fix error on "unique case" with no cases.
|
|
|
|
|
* Fix MacOS portability. (#1232) [Jeff Bush]
|
2020-11-24 05:18:58 +01:00
|
|
|
|
2020-12-03 02:09:23 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.914 2017-10-14
|
|
|
|
|
==========================
|
2020-11-17 00:11:27 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2020-11-19 02:12:14 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add new examples/ directory with appropriate examples. This replaces the
|
|
|
|
|
old test_c and test_sc directories.
|
2020-11-25 04:46:02 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-11-14 16:00:01 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add --getenv option for simplifying Makefiles.
|
|
|
|
|
* Add --x-initial option for specifying initial value assignment behavior.
|
|
|
|
|
* Add --no-relative-cfuncs and related default optimization. (#1224) [John Coiner]
|
|
|
|
|
* Add /*verilator tag*/ for XML extraction applications. [Chris Randall]
|
|
|
|
|
* The internal test_verilated test directory is moved to be part of test_regress.
|
|
|
|
|
* The experimental VL_THREADED setting (only, not normal mode) now requires C++11.
|
|
|
|
|
* Fix over-aggressive inlining. (#1223) [John Coiner]
|
|
|
|
|
* Fix Ubuntu 17.10 issues. (#1223 partial). [John Coiner]
|
|
|
|
|
* Fix compiler warning when WIDTH warning ignored on large compare.
|
|
|
|
|
* Fix memory leak in VerilatedVcd dumps. (#1222 partial) [Shareef Jalloq]
|
|
|
|
|
* Fix unnecessary Vdly variables. (#1224 partial) [John Coiner]
|
|
|
|
|
* Fix conditional slices and add related optimizations.
|
|
|
|
|
* Fix \`\` expansion of `defines. (#1225) (#1227) (#1228) [Odd Magne Reitan]
|
|
|
|
|
* Fix -E duplicating output. (#1226) [Odd Magne Reitan]
|
|
|
|
|
* Fix float-conversion warning. (#1229) [Robert Henry]
|
|
|
|
|
* Fix MacOS portability. (#1230) (#1231) [Jeff Bush]
|
2020-10-15 14:26:36 +02:00
|
|
|
|
2020-11-01 16:56:07 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.912 2017-09-23
|
|
|
|
|
==========================
|
2020-10-18 19:23:02 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2020-11-07 16:49:54 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Verilated headers no longer "use namespace std;"
|
|
|
|
|
User's code without "std::" prefixes may need "use namespace std;" to compile.
|
2020-11-07 16:49:54 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-11-07 21:41:37 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support or/and/xor array intrinsic methods. (#1210) [Mike Popoloski]
|
|
|
|
|
* Support package export. (#1217) [Usuario Eda]
|
|
|
|
|
* Support module port parameters without defaults. (#1213) [Mike Popoloski]
|
|
|
|
|
* Add performance information to --stats file.
|
|
|
|
|
* Simplify VL_CONST_W macro generation for faster compiles.
|
|
|
|
|
* Optimize improvements for Shift-And, and replication constructs.
|
|
|
|
|
* Fix ordering of arrayed cell wide connections. (#1202 partial) [Mike Popoloski]
|
|
|
|
|
* Fix LITENDIAN warning on arrayed cells. (#1202) [Mike Popoloski]
|
|
|
|
|
* Fix enum ranges without colons. (#1204) [Mike Popoloski]
|
|
|
|
|
* Fix GCC noreturn compile error. (#1209) [Mike Popoloski]
|
|
|
|
|
* Fix constant function default parameters. (#1211) [Mike Popoloski]
|
|
|
|
|
* Fix non-colon array of interface modports. (#1212) [Mike Popoloski]
|
|
|
|
|
* Fix .name connections on interfaces. (#1214) [Mike Popoloski]
|
|
|
|
|
* Fix wide array indices causing compile error.
|
2020-11-14 15:26:38 +01:00
|
|
|
|
2020-11-07 16:49:54 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.910 2017-09-07
|
|
|
|
|
==========================
|
2020-11-09 01:07:33 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2020-10-26 02:05:22 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* SystemPerl mode (-sp-deprecated) has been removed.
|
2020-10-22 23:27:23 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-11-07 16:49:54 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Update keyword warnings to include C++11 and others.
|
2020-11-07 16:49:54 +01:00
|
|
|
|
2020-11-07 16:37:55 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.908 2017-08-28
|
|
|
|
|
==========================
|
2020-11-09 04:43:32 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-11-14 15:26:38 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support x in $readmem. (#1180) [Arthur Kahlich]
|
|
|
|
|
* Support packed struct DPI imports. (#1190) [Rob Stoddard]
|
|
|
|
|
* Fix GCC 6 warnings.
|
|
|
|
|
* Fix compile error on unused VL_VALUEPLUSARGS_IW. (#1181) [Thomas J Whatson]
|
|
|
|
|
* Fix undefined VL_POW_WWI. [Clifford Wolf]
|
|
|
|
|
* Fix internal error on unconnected inouts. (#1187) [Rob Stoddard]
|
2020-08-15 15:20:50 +02:00
|
|
|
|
2020-09-07 15:42:13 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.906 2017-06-22
|
|
|
|
|
==========================
|
2020-09-07 23:26:53 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-09-16 02:12:08 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support set_time_unit/set_time_precision in C traces. (#1937)
|
|
|
|
|
* Fix extract of packed array with non-zero LSB. (#1172) [James Pallister]
|
|
|
|
|
* Fix shifts by more than 32-bit numbers. (#1174) [Clifford Wolf]
|
|
|
|
|
* Fix power operator on wide constants. (#761) [Clifford Wolf]
|
|
|
|
|
* Fix .* on interface pins. (#1176) [Maciej Piechotka]
|
2020-10-08 13:54:01 +02:00
|
|
|
|
2020-10-14 13:30:15 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.904 2017-05-30
|
|
|
|
|
==========================
|
2020-10-14 13:30:15 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-10-14 13:30:15 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix non-cutable ordering loops on clock arrays. (#1009) [Todd Strader]
|
|
|
|
|
* Support ports of array of reals. (#1154) [J Briquet]
|
|
|
|
|
* Support arrayed parameter overrides. (#1153) [John Stevenson]
|
|
|
|
|
* Support $value$plusargs with variables. (#1165) [Wesley Terpstra]
|
|
|
|
|
* Support modport access to un-modport objects. (#1161) [Todd Strader]
|
|
|
|
|
* Add stack trace when can't optimize function. (#1158) [Todd Strader]
|
|
|
|
|
* Add warning on mis-sized literal. (#1156) [Todd Strader]
|
|
|
|
|
* Fix interface functions returning wrong parameters. (#996) [Todd Strader]
|
|
|
|
|
* Fix non-arrayed cells with interface arrays. (#1153) [John Stevenson]
|
|
|
|
|
* Fix --assert with complex case statements. (#1164) [Enzo Chi]
|
2020-10-14 13:30:15 +02:00
|
|
|
|
2020-09-21 14:29:39 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.902 2017-04-02
|
|
|
|
|
==========================
|
2020-09-22 14:44:51 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2020-09-22 15:09:10 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add -FI option to force includes. (#1916) [Amir Gonnen]
|
|
|
|
|
* Add --relative-includes. [Rob Stoddard]
|
2020-09-25 13:37:38 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-10-14 13:30:15 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add error on duplicate pattern assignments. (#1145) [Johan Bjork]
|
|
|
|
|
* Fix error on improperly widthed default function. (#984) [Todd Strader]
|
|
|
|
|
* Fix 2009 localparam syntax, msg2139. [Galen Seitz]
|
|
|
|
|
* Fix ugly interface-to-non-interface errors. (#1112) [Johan Bjork]
|
|
|
|
|
* Fix LDFLAGS and CFLAGS not preserving order. (#1130) [Olof Kindgren]
|
|
|
|
|
* Fix internal error on initializing parameter array. (#1131) [Jie Xu]
|
|
|
|
|
* Fix internal error on interface arrays. (#1135) [John Stevenson]
|
|
|
|
|
* Fix calling sformatf to display, and elab $displays. (#1139) [Johan Bjork]
|
|
|
|
|
* Fix realpath compile issue on MSVC++. (#1141) [Miodrag Milanovic]
|
|
|
|
|
* Fix missing error on interface size mismatch. (#1143) [Johan Bjork]
|
|
|
|
|
* Fix error on parameters with dotted references. (#1146) [Johan Bjork]
|
|
|
|
|
* Fix wreal not handling continuous assign. (#1150) [J Briquet]
|
|
|
|
|
* Fix nested structure parameter selects. (#1150) [J Briquet]
|
2020-10-04 13:47:25 +02:00
|
|
|
|
2020-10-10 00:53:09 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.900 2017-01-15
|
|
|
|
|
==========================
|
2020-09-07 15:42:13 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2020-08-15 15:20:50 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Internal code changes for improved compatibility and performance.
|
2020-08-15 15:20:50 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-08-29 16:45:47 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support old-style $display($time). (#467) [John Demme]
|
|
|
|
|
* With --bbox-unsup, suppress desassign and mixed edges. (#1120) [Galen Seitz]
|
|
|
|
|
* Fix parsing sensitivity with &&. (#934) [Luke Yang]
|
|
|
|
|
* Fix internal error on double-for loop unrolling. (#1044) [Jan Egil Ruud]
|
|
|
|
|
* Fix internal error on unique casez with --assert. (#1117) [Enzo Chi]
|
|
|
|
|
* Fix bad code when tracing array of structs. (#1122) [Andrew Bardsley]
|
2020-08-17 13:57:38 +02:00
|
|
|
|
2020-08-23 01:46:21 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.890 2016-11-25
|
|
|
|
|
==========================
|
2020-08-23 14:42:50 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-08-22 22:56:21 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Honor --output-split on coverage constructors. (#1098) [Johan Bjork]
|
|
|
|
|
* Fix various issues when making outside of the kit.
|
|
|
|
|
* Fix flex 2.6.2 bug. (#1103) [Sergey Kvachonok]
|
|
|
|
|
* Fix error on bad interface name. (#1097) [Todd Strader]
|
|
|
|
|
* Fix error on referencing variable in parent. (#1099) [Ian Thompson]
|
|
|
|
|
* Fix type parameters with low optimization. (#1101) [Stefan Wallentowitz]
|
2020-08-23 04:21:37 +02:00
|
|
|
|
2020-08-23 04:44:00 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.888 2016-10-14
|
|
|
|
|
==========================
|
2020-08-24 04:21:40 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2020-08-29 18:56:43 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support foreach. (#1078) [Xuan Guo]
|
2020-09-03 18:38:48 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-09-10 12:49:04 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add --no-decoration to remove output comments, msg2015. [Frederic Requin]
|
|
|
|
|
* If VM_PARALLEL_BUILDS=1, use OPT_FAST and OPT_SLOW. [Frederic Requin]
|
|
|
|
|
Set VM_DEFAULT_RULES=0 for old behavior.
|
|
|
|
|
* Add error on DPI functions > 32 bits. (#1898) [Elliot Mednick]
|
|
|
|
|
* Improve Verilation performance on internal strings. (#1896) [Johan Bjork]
|
|
|
|
|
* Improve Verilation performance on trace duplicates. (#1090) [Johan Bjork]
|
|
|
|
|
* Fix SystemC compiles with VPI. (#1081) [Arthur Kahlich]
|
|
|
|
|
* Fix error on wide numbers that represent shifts, msg1991. (#1088) [Mandy Xu]
|
2020-08-15 15:20:50 +02:00
|
|
|
|
2020-07-11 15:18:40 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.886 2016-07-30
|
|
|
|
|
==========================
|
2020-08-15 15:12:36 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-07-15 23:58:26 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix enum values of 11-16 bits wide using .next/.prev. (#1062) [Brian Flachs]
|
|
|
|
|
* Fix false warnings on non-power-2 enums using .next/.prev.
|
|
|
|
|
* Fix comparison of unpacked arrays. (#1071) [Andrew Bardsley]
|
|
|
|
|
* Fix compiler warning in GCC 6. [David Horton]
|
2020-08-15 15:12:36 +02:00
|
|
|
|
|
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.884 2016-05-18
|
|
|
|
|
==========================
|
2020-08-15 15:12:36 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2020-08-15 15:12:36 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support parameter type. (#376) [Alan Hunter, et al]
|
|
|
|
|
* Support command-line -G/+pvalue param overrides. (#1045) [Stefan Wallentowitz]
|
|
|
|
|
* Add --l2-name option for controlling "v" naming.
|
|
|
|
|
* The default l2 scope name is now the same as the top-level module. (#1050)
|
|
|
|
|
Use "--l2-name v" for the historical behavior.
|
2020-08-07 03:56:43 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-07-26 23:54:23 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix --output-split of constructors. (#1035) [Johan Bjork]
|
|
|
|
|
* Fix removal of empty packages, modules and cells. (#1034) [Johan Bjork]
|
|
|
|
|
* Fix core dump on Arch Linux/GCC 6.1.1. (#1058) [Jannis Harder]
|
|
|
|
|
* Fix $value$plusargs to string. (#1880) [Frederic Requin]
|
2020-08-15 15:12:36 +02:00
|
|
|
|
|
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.882 2016-03-01
|
|
|
|
|
==========================
|
2020-08-07 03:56:43 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Internal Verilation-time performance enhancements. (#1021) [Johan Bjork]
|
|
|
|
|
* Support inlining interfaces. (#1018) [Johan Bjork]
|
|
|
|
|
* Support SV strings to readmemh. (#1040) [Stefan Wallentowitz]
|
|
|
|
|
* Fix unrolling complicated for-loop bounds. (#677) [Johan Bjork]
|
|
|
|
|
* Fix stats file containing multiple unroll entries. (#1020) [Johan Bjork]
|
|
|
|
|
* Fix using short parameter names on negative params. (#1022) [Duraid Madina]
|
|
|
|
|
* Fix read-after-free error. (#1031) [Johan Bjork]
|
|
|
|
|
* Fix elaboration-time display warnings. (#1032) [Johan Bjork]
|
|
|
|
|
* Fix crash on very deep function trees. (#1028) [Jonathan Kimmitt]
|
|
|
|
|
* Fix slicing mix of big and little-endian. (#1033) [Geoff Barrett]
|
|
|
|
|
* Fix pattern assignment width propagation. (#1037) [Johan Bjork]
|
2020-08-10 02:59:06 +02:00
|
|
|
|
2020-08-15 15:12:36 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.880 2015-12-19
|
|
|
|
|
==========================
|
2020-09-07 15:26:03 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-09-07 15:26:03 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support display %u, %v, %p, %z. (#989) [Johan Bjork]
|
|
|
|
|
* Fix real parameters causing bad module names. (#992) [Johan Bjork]
|
|
|
|
|
* Fix size-changing cast on packed struct. (#993) [Johan Bjork]
|
|
|
|
|
* Fix function calls on arrayed interface. (#994) [Johan Bjork]
|
|
|
|
|
* Fix arrayed interfaces. (#879) (#1001) [Todd Strader]
|
|
|
|
|
* Fix constant function assigned to packed structs. (#997) [Johan Bjork]
|
|
|
|
|
* Fix interface inside generate. (#998) [Johan Bjork]
|
|
|
|
|
* Fix $signed casts under generates. (#999) [Clifford Wolf]
|
|
|
|
|
* Fix genvar constant propagation. (#1003) [Johan Bjork]
|
|
|
|
|
* Fix parameter constant propagation from package. (#1004) [Johan Bjork]
|
|
|
|
|
* Fix array slicing of non-const indexes. (#1006) [Johan Bjork]
|
|
|
|
|
* Fix dotted generated array error. (#1005) [Jeff Bush, Johan Bjork]
|
|
|
|
|
* Fix error instead of warning on large concat. (#1865) [Paul Rolfe]
|
|
|
|
|
* Fix $bitstoreal constant propagation. (#1012) [Jonathan Kimmitt]
|
|
|
|
|
* Fix model restore crash. (#1013) [Jason McMullan]
|
|
|
|
|
* Fix arrayed instances to unpacked of same size. (#1015) [Varun Koyyalagunta]
|
|
|
|
|
* Fix slices of unpacked arrays with non-zero LSBs.
|
|
|
|
|
* Fix ternary operation with unpacked array. (#1017) [Varun Koyyalagunta].
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 3.878 2015-11-01
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Major:**
|
2020-01-11 15:08:33 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add --vpi flag, and fix VPI linkage. (#969) [Arthur Kahlich]
|
|
|
|
|
* Support genvar indexes into arrayed cells. (#517) [Todd Strader]
|
|
|
|
|
* Support $sformatf. (#977) [Johan Bjork]
|
|
|
|
|
* Support elaboration assertions. (#973) [Johan Bjork]
|
|
|
|
|
* Support $display with non-format arguments. (#467) [Jamey Hicks]
|
2020-06-06 13:51:54 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Add VerilatedScopeNameMap for introspection. (#966) [Todd Strader]
|
|
|
|
|
* Ignore %l in $display. (#983) [Todd Strader]
|
|
|
|
|
* Fix very long module names. (#937) [Todd Strader]
|
|
|
|
|
* Fix internal error on dotted refs into generates. (#958) [Jie Xu]
|
|
|
|
|
* Fix structure parameter constant propagation. (#968) [Todd Strader]
|
|
|
|
|
* Fix enum constant propagation. (#970) [Todd Strader]
|
|
|
|
|
* Fix mis-optimizing public DPI functions. (#963) [Wei Song]
|
|
|
|
|
* Fix package:scope.scope variable references.
|
|
|
|
|
* Fix $fwrite to constant stderr/stdout. (#961) [Wei Song]
|
|
|
|
|
* Fix struct.enum.name method calls. (#855) [Jonathon Donaldson]
|
|
|
|
|
* Fix dot indexing into arrayed inferfaces. (#978) [Johan Bjork]
|
|
|
|
|
* Fix crash in commandArgsPlusMatch. (#987) [Jamie Iles]
|
|
|
|
|
* Fix error message on missing interface. (#985) [Todd Strader]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 3.876 2015-08-12
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Add tracing_on, etc to vlt files. (#932) [Frederic Requin]
|
|
|
|
|
* Support extraction of enum bits. (#951) [Jonathon Donaldson]
|
|
|
|
|
* Fix MinGW compiler error. (#927) (#929) [Hans Tichelaar]
|
|
|
|
|
* Fix .c files to be treated as .cpp. (#930) [Jonathon Donaldson]
|
|
|
|
|
* Fix string-to-int space conversion. (#931) [Fabrizio Ferrandi]
|
|
|
|
|
* Fix dpi imports inside generates. [Michael Tresidder]
|
|
|
|
|
* Fix rounding in trace $timescale. (#946) [Frederic Requin]
|
|
|
|
|
* Fix $fopen with SV string. (#947) [Sven Stucki]
|
|
|
|
|
* Fix hashed error with typedef inside block. (#948) [Sven Stucki]
|
|
|
|
|
* Fix makefile with --coverage. (#953) [Eivind Liland]
|
|
|
|
|
* Fix coverage documentation. (#954) [Thomas J Whatson]
|
|
|
|
|
* Fix parameters with function parameter arguments. (#952) [Jie Xu]
|
|
|
|
|
* Fix size casts as second argument of cast item. (#950) [Jonathon Donaldson]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 3.874 2015-06-06
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Add pkg-config .pc file. (#919) [Stefan Wallentowitz]
|
|
|
|
|
* Fix installing missing manpages. (#908) [Ahmed El-Mahmoudy]
|
|
|
|
|
* Fix sign extension in large localparams. (#910) [Mike Thyer]
|
|
|
|
|
* Fix core dump in sync-async warnings. (#911) [Sebastian Dressler]
|
|
|
|
|
* Fix truncation warning with -pins-bv. (#912) [Alfonso Martinez]
|
|
|
|
|
* Fix Cygwin uint32 compile. (#914) [Matthew Barr]
|
|
|
|
|
* Fix preprocessing stringified newline escapes. (#915) [Anton Rapp]
|
|
|
|
|
* Fix part-select in constant function. (#916) [Andrew Bardsley]
|
|
|
|
|
* Fix width extension on mis-width ports. (#918) [Patrick Maupin]
|
|
|
|
|
* Fix width propagation on sized casts. (#925) [Jonathon Donaldson]
|
|
|
|
|
* Fix MSVC++ compiler error. (#927) [Hans Tichelaar]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 3.872 2015-04-05
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Add VerilatedVcdFile to allow real-time waveforms. (#890) [HyungKi Jeong]
|
|
|
|
|
* Add --clk and related optimizations. (#1840) [Jie Xu]
|
|
|
|
|
* Fix order of C style arrays. [Duraid Madina]
|
|
|
|
|
* Add --dump-treei-<srcfile>. (#894) [Jie Xu]
|
|
|
|
|
* Fix comma-instantiations with parameters. (#884) [Franck Jullien]
|
|
|
|
|
* Fix SystemC arrayed bit vectors. (#886) [David Poole]
|
|
|
|
|
* Fix compile error on MinGW. (#887) [HyungKi Jeong]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 3.870 2015-02-12
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Suppress COMBDLY when inside always_latch. (#864) [Iztok Jeras]
|
|
|
|
|
* Support cast operator with expression size. (#865) [Iztok Jeras]
|
|
|
|
|
* Add warning on slice selection out of bounds. (#875) [Cong Van Nguyen].
|
|
|
|
|
* Fix member select error broke in 3.868. (#867) [Iztok Jeras]
|
|
|
|
|
* Fix $sccanf from string. (#866) [David Pierce]
|
|
|
|
|
* Fix VM_PARALLEL_BUILDS broke in 3.868. (#870) [Hiroki Honda]
|
|
|
|
|
* Fix non-ANSI modport instantiations. (#868) [Kevin Thompson]
|
|
|
|
|
* Fix UNOPTFLAT change detect on multidim arrays. (#872) [Andrew Bardsley]
|
|
|
|
|
* Fix slice connections of arrays to ports. (#880) [Varun Koyyalagunta]
|
|
|
|
|
* Fix mis-optimizing gate assignments in unopt blocks. (#881) [Mike Thyer]
|
|
|
|
|
* Fix sign extension of pattern members. (#882) [Iztok Jeras]
|
|
|
|
|
* Fix clang compile warnings.
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 3.868 2014-12-20
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Major:**
|
|
|
|
|
|
|
|
|
|
* New verilator_coverage program added to replace SystemPerl's vcoverage.
|
|
|
|
|
* PSL support was removed, please use System Verilog assertions.
|
|
|
|
|
* SystemPerl mode is deprecated and now untested.
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Support enum.first/name and similar methods. (#460) (#848)
|
|
|
|
|
* Add 'string' printing and comparisons. (#746) (#747) etc.
|
|
|
|
|
* Inline C functions that are used only once. (#1838) [Jie Xu]
|
|
|
|
|
* Fix tracing SystemC signals with structures. (#858) [Eivind Liland]
|
|
|
|
|
Note that SystemC traces will no longer show the signals
|
|
|
|
|
in the wrapper, they can be seen one level further down.
|
|
|
|
|
* Add --stats-vars. (#851) [Jeremy Bennett]
|
|
|
|
|
* Fix bare generates in interfaces. (#789) [Bob Newgard]
|
|
|
|
|
* Fix underscores in real literals. (#863) [Jonathon Donaldson]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 3.866 2014-11-15
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Fix +define+A+B to define A and B to match other simulators. (#847) [Adam Krolnik]
|
|
|
|
|
* Add optimization of wires from arrayed cells. (#1831) [Jie Xu]
|
|
|
|
|
* Add optimization of operators between concats. (#1831) [Jie Xu]
|
|
|
|
|
* Add public enums. (#833) [Jonathon Donaldson]
|
|
|
|
|
* Trace_off now operates on cells. (#826) [Lane Brooks]
|
|
|
|
|
* Fix public parameters in unused packages. (#804) [Jonathon Donaldson]
|
2021-04-12 00:55:06 +02:00
|
|
|
* Fix select when partially out-of-bound. (#823) [Clifford Wolf]
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix generate unrolling with function call. (#830) [Steven Slatter]
|
|
|
|
|
* Fix cast-to-size context-determined sizing. (#828) [Geoff Barrett]
|
|
|
|
|
* Fix not tracing modules following primitives. (#837) [Jie Xu]
|
|
|
|
|
* Fix trace overflow on huge arrays. (#834) [Geoff Barrett]
|
|
|
|
|
* Fix quoted comment slashes in defines. (#845) [Adam Krolnik]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 3.864 2014-09-21
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Support power operator with real. (#809) [Jonathon Donaldson]
|
|
|
|
|
* Improve verilator_profcfunc time attributions. [Jonathon Donaldson]
|
|
|
|
|
* Fix duplicate anonymous structures in $root. (#788) [Bob Newgard]
|
|
|
|
|
* Fix mis-optimization of bit-swap in wide signal. (#800) [Jie Xu]
|
|
|
|
|
* Fix error when tracing public parameters. (#722) [Jonathon Donaldson]
|
|
|
|
|
* Fix dpiGetContext in dotted scopes. (#740) [Geoff Barrett]
|
|
|
|
|
* Fix over-shift structure optimization error. (#803) [Jeff Bush]
|
|
|
|
|
* Fix optional parameter keyword in module #(). (#810) [Iztok Jeras]
|
|
|
|
|
* Fix $warning/$error multi-argument ordering. (#816) [Jonathon Donaldson]
|
|
|
|
|
* Fix clang warnings. (#818) [Iztok Jeras]
|
|
|
|
|
* Fix string formats under deep expressions. (#820) [Iztok Jeras]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 3.862 2014-06-10
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Using command line -Wno-{WARNING} now overrides file-local lint_on.
|
|
|
|
|
* Add -P to suppress `line and blanks with preprocessing. (#781) [Derek Lockhart]
|
|
|
|
|
* Support SV 2012 package import before port list.
|
|
|
|
|
* Change SYMRSVDWORD to print as warning rather than error.
|
|
|
|
|
* Fix seg-fault with variable of parameterized interface. (#692) [Jie Xu]
|
|
|
|
|
* Fix false name conflict on cells in generate blocks. (#749) [Igor Lesik]
|
|
|
|
|
* Fix pattern assignment to basic types. (#767) [Jie Xu]
|
|
|
|
|
* Fix pattern assignment to conditionals. (#769) [Jie Xu]
|
|
|
|
|
* Fix shift corner-cases. (#765) (#766) (#768) (#772) (#774) (#776) [Clifford Wolf]
|
|
|
|
|
* Fix C compiler interpreting signing. (#773) [Clifford Wolf]
|
|
|
|
|
* Fix late constant division by zero giving X error. (#775) [Clifford Wolf]
|
|
|
|
|
* Fix gate primitives with arrays and non-arrayed pins.
|
|
|
|
|
* Fix DETECTARRAY error on packed arrays. (#770) [Jie Xu]
|
|
|
|
|
* Fix ENDLABEL warnings on escaped identifiers.
|
|
|
|
|
* Fix string corruption. (#780) [Derek Lockhart]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 3.860 2014-05-11
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Major:**
|
|
|
|
|
|
|
|
|
|
* PSL is no longer supported, please use System Verilog assertions.
|
|
|
|
|
* Support '{} assignment pattern on arrays. (#355)
|
|
|
|
|
* Support streaming operators. (#649) [Glen Gibb]
|
|
|
|
|
* Fix expression problems with -Wno-WIDTH. (#729) (#736) (#737) (#759)
|
|
|
|
|
Where WIDTH warnings were ignored this might result in different
|
|
|
|
|
warning messages and results, though it should better match the spec.
|
|
|
|
|
[Clifford Wolf]
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Add --no-trace-params.
|
|
|
|
|
* Add assertions on 'unique if'. (#725) [Jeff Bush]
|
|
|
|
|
* Add PINCONNECTEMPTY warning. [Holger Waechtler]
|
|
|
|
|
* Support parameter arrays. (#683) [Jeremy Bennett]
|
|
|
|
|
* Documentation fixes. (#723) [Glen Gibb]
|
|
|
|
|
* Support {} in always sensitivity lists. (#745) [Igor Lesik]
|
|
|
|
|
* Fix begin_keywords "1800+VAMS". (#1806)
|
|
|
|
|
* Fix tracing of package variables and real arrays.
|
|
|
|
|
* Fix tracing of packed arrays without --trace-structs. (#742) [Jie Xu]
|
|
|
|
|
* Fix missing coverage line on else-if. (#727) [Sharad Bagri]
|
|
|
|
|
* Fix modport function import not-found error.
|
|
|
|
|
* Fix power operator calculation. (#730) (#735) [Clifford Wolf]
|
|
|
|
|
* Fix reporting struct members as reserved words. (#741) [Chris Randall]
|
|
|
|
|
* Fix change detection error on unions. (#758) [Jie Xu]
|
|
|
|
|
* Fix -Wno-UNOPTFLAT change detection with 64-bits. (#762) [Clifford Wolf]
|
|
|
|
|
* Fix shift-right optimization. (#763) [Clifford Wolf]
|
|
|
|
|
* Fix Mac OS-X test issues. [Holger Waechtler]
|
|
|
|
|
* Fix C++-2011 warnings.
|
2020-07-11 14:56:40 +02:00
|
|
|
|
2020-06-13 11:22:12 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.856 2014-03-11
|
|
|
|
|
==========================
|
2020-07-11 00:18:02 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-06-10 01:20:16 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support case inside. (#708) [Jan Egil Ruud]
|
|
|
|
|
* Add parameters into trace files. (#706) [Alex Solomatnikov]
|
|
|
|
|
* Fix parsing "#0 'b0". (#256)
|
|
|
|
|
* Fix array bound checks on real variables.
|
|
|
|
|
* Fix --skip-identical mis-detecting on OS-X. (#707)
|
|
|
|
|
* Fix missing VL_SHIFTRS_IQI with WIDTH warning. (#714) [Fabrizio Ferrandi]
|
|
|
|
|
* Fix signed shift right optimization. (#715) [Fabrizio Ferrandi]
|
|
|
|
|
* Fix internal error on "input x =" syntax error. (#716) [Lane Brooks]
|
|
|
|
|
* Fix slice extraction from packed array. (#717) [Jan Egil Ruud]
|
|
|
|
|
* Fix inside statement EQWILD error. (#718) [Jan Egil Ruud]
|
2020-06-06 17:11:23 +02:00
|
|
|
|
2020-06-30 03:18:41 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.855 2014-01-18
|
|
|
|
|
==========================
|
2020-07-11 00:18:02 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-07-11 00:18:02 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support modport import. (#696) [Jeremy Bennett]
|
|
|
|
|
* Add --trace-structs to show struct names. (#673) [Chris Randall]
|
|
|
|
|
* Fix tracing of packed structs. (#705) [Jie Xu]
|
|
|
|
|
* Fix --lint-only with MinGW. (#1813) [HyungKi Jeong]
|
|
|
|
|
* Fix some delayed assignments of typedefed unpacked arrays.
|
|
|
|
|
* Fix wire declarations with size and not range. (#466) [Alex Solomatnikov]
|
|
|
|
|
* Fix parameter pin vs. normal pin error. (#704) [Alex Solomatnikov]
|
2020-07-11 00:18:02 +02:00
|
|
|
|
2020-06-11 13:50:05 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.854 2013-11-26
|
|
|
|
|
==========================
|
2020-06-12 08:15:42 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-07-11 04:28:02 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add UNPACKED warning to convert unpacked structs. [Jeremy Bennett]
|
|
|
|
|
* Add --compiler clang to work around compiler bug. (#694) [Stefan Ludwig]
|
|
|
|
|
* Support vpi_get of vpiSuppressVal. (#687) [Varun Koyyalagunta]
|
|
|
|
|
* Support vpi_get_time. (#688) [Varun Koyyalagunta]
|
|
|
|
|
* Fix evaluation of chained parameter functions. (#684) [Ted Campbell]
|
|
|
|
|
* Fix enum value extension of '1.
|
|
|
|
|
* Fix multiple VPI variable callbacks. (#679) [Rich Porter]
|
|
|
|
|
* Fix vpi_get of vpiSize. (#680) [Rich Porter]
|
|
|
|
|
* Fix vpi_remove_cb inside callback. (#689) [Varun Koyyalagunta]
|
|
|
|
|
* Fix crash with coverage of structures. (#691) [Eivind Liland]
|
|
|
|
|
* Fix array assignment from const var. (#693) [Jie Xu]
|
2020-06-06 13:51:54 +02:00
|
|
|
|
2020-05-03 17:18:53 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.853 2013-09-30
|
|
|
|
|
==========================
|
2020-05-28 03:52:55 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-05-29 00:51:46 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add --no-order-clock-delay to work around #613. [Charlie Brej]
|
2020-05-26 20:38:14 +02:00
|
|
|
|
2020-05-16 15:18:35 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.852 2013-09-29
|
|
|
|
|
==========================
|
2020-06-06 13:44:28 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-06-06 13:44:28 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support named function and task arguments. [Chris Randall]
|
|
|
|
|
* Report SELRANGE warning for non-generate if. (#675) [Roland Kruse]
|
|
|
|
|
* Fix ordering of $fgetc. (#1808) [Frederic Requin]
|
|
|
|
|
* Fix --output-split-cfunc to count internal functions. [Chris Randall]
|
|
|
|
|
* Fix crash on 32-bit Ubuntu. (#670) [Mark Jackson Pulver]
|
2020-05-15 00:14:50 +02:00
|
|
|
|
2020-05-10 20:27:22 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.851 2013-08-15
|
|
|
|
|
==========================
|
2020-05-08 03:09:14 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-05-10 18:48:33 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix ordering of clock enables with delayed assigns. (#613) [Jeremy Bennett]
|
|
|
|
|
* Fix vpi_iterate on memory words. (#655) [Rich Porter]
|
|
|
|
|
* Fix final duplicate declarations when non-inlined. (#661) [Charlie Brej]
|
|
|
|
|
* Fix interface ports with comma lists. (#1779) [Ed Lander]
|
|
|
|
|
* Fix parameter real conversion from integer.
|
|
|
|
|
* Fix clang warnings. (#668) [Yutetsu Takatsukasa]
|
2020-05-29 00:09:31 +02:00
|
|
|
|
2020-05-03 22:55:22 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.850 2013-06-02
|
|
|
|
|
==========================
|
2020-05-05 01:40:50 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2020-05-08 03:40:08 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support interfaces and modports. (#102) [Byron Bradley, Jeremy Bennett]
|
2020-05-24 03:58:45 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-05-03 17:18:53 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Duplicate clock gate optimization on by default. (#621)
|
|
|
|
|
* Fix arrayed input compile error. (#645) [Krzysztof Jankowski]
|
|
|
|
|
* Fix GCC version runtime changes. (#651) [Jeremy Bennett]
|
|
|
|
|
* Fix packed array select internal error. (#652) [Krzysztof Jankowski]
|
2020-04-04 14:50:56 +02:00
|
|
|
|
2020-04-05 15:30:23 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.847 2013-05-11
|
|
|
|
|
==========================
|
2020-04-16 01:39:03 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-04-16 00:08:37 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add ALWCOMBORDER warning. [KC Buckenmaier]
|
|
|
|
|
* Add --pins-sc-uint and --pins-sc-biguint. (#638) [Alex Hornung]
|
|
|
|
|
* Support "signal[vec]++".
|
|
|
|
|
* Fix simulation error when inputs and MULTIDRIVEN. (#634) [Ted Campbell]
|
|
|
|
|
* Fix module resolution with __. (#631) [Jason McMullan]
|
|
|
|
|
* Fix packed array non-zero right index select crash. (#642) [Krzysztof Jankowski]
|
|
|
|
|
* Fix nested union crash. (#643) [Krzysztof Jankowski]
|
2020-04-05 22:10:33 +02:00
|
|
|
|
2020-04-08 01:07:47 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.846 2013-03-09
|
|
|
|
|
==========================
|
2020-04-22 00:49:07 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2020-04-22 00:14:08 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* IEEE 1800-2012 is now the default language. This adds 4 new keywords
|
|
|
|
|
and updates the svdpi.h and vpi_user.h header files.
|
|
|
|
|
* Add --report-unoptflat. (#611) [Jeremy Bennett]
|
2020-05-02 14:29:20 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-04-05 16:11:28 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add duplicate clock gate optimization. (#1772) [Varun Koyyalagunta]
|
|
|
|
|
Disabled unless -OD or -O3 used, please try it as may get some
|
|
|
|
|
significant speedups.
|
|
|
|
|
* Support pattern assignment features. (#616) (#617) (#618) [Ed Lander]
|
|
|
|
|
* Support bind in $unit. (#602) [Ed Lander]
|
|
|
|
|
* Support <number>'() sized casts. (#628) [Ed Lander]
|
|
|
|
|
* Fix wrong dot resolution under inlining. [Art Stamness]
|
|
|
|
|
* Fix DETECTARRAY on packed structures. (#610) [Jeremy Bennett]
|
|
|
|
|
* Fix LITENDIAN on unpacked structures. (#614) [Wai Sum Mong]
|
|
|
|
|
* Fix 32-bit OS VPI scan issue. (#615) [Jeremy Bennett, Rich Porter]
|
|
|
|
|
* Fix opening a VerilatedVcdC file multiple times. (#1774) [Frederic Requin]
|
|
|
|
|
* Fix UNOPTFLAT circular array bounds crossing. (#630) [Jie Xu]
|
2020-04-25 21:37:46 +02:00
|
|
|
|
2020-04-08 01:58:17 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.845 2013-02-04
|
|
|
|
|
==========================
|
2020-04-08 23:54:35 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-04-09 03:05:43 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix nested packed arrays and struct. (#600) [Jeremy Bennett]
|
|
|
|
|
Packed arrays are now represented as a single linear vector in
|
|
|
|
|
Verilated models. This may affect packed arrays that are public or
|
|
|
|
|
accessed via the VPI.
|
|
|
|
|
* Support wires with data types. (#608) [Ed Lander]
|
|
|
|
|
* Support bind, to module names only. (#602) [Ed Lander]
|
|
|
|
|
* Support VPI product info, warning calls, etc. (#588) [Rick Porter]
|
|
|
|
|
* Support $left, $right and related functions. (#448) [Iztok Jeras]
|
|
|
|
|
* Support inside expressions.
|
|
|
|
|
* Define SYSTEMVERILOG, SV_COV_START and other IEEE mandated predefines.
|
|
|
|
|
* Fix pin width mismatch error. (#595) [Alex Solomatnikov]
|
|
|
|
|
* Fix implicit one bit parameter selection. (#603) [Jeremy Bennett]
|
|
|
|
|
* Fix signed/unsigned parameter misconversion. (#606) [Jeremy Bennett]
|
|
|
|
|
* Fix segfault on multidimensional dotted arrays. (#607) [Jie Xu]
|
|
|
|
|
* Fix per-bit array output connection error. (#414) [Jan Egil Ruud]
|
|
|
|
|
* Fix package logic var compile error.
|
|
|
|
|
* Fix enums with X values.
|
2020-04-09 14:19:26 +02:00
|
|
|
|
2020-04-28 00:38:30 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.844 2013-01-09
|
|
|
|
|
==========================
|
2020-04-29 03:15:20 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-04-30 23:53:54 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support "unsigned int" DPI import functions. (#1770) [Alex Lee]
|
|
|
|
|
* Fix package resolution of parameters. (#586) [Jeremy Bennett]
|
|
|
|
|
* Fix non-integer vpi_get_value. (#587) [Rich Porter]
|
|
|
|
|
* Fix task inlining under $display and case. (#589) (#598) [Holger Waechtler]
|
|
|
|
|
* Fix package import of non-localparam parameter. (#474) (#591) [Jeremy Bennett]
|
|
|
|
|
* Fix package import of package imports, partial #592. [Jeremy Bennett]
|
|
|
|
|
* Fix package import preventing local var. (#599) [Jeremy Bennett]
|
|
|
|
|
* Fix array extraction of implicit vars. (#601) [Joe Eiler]
|
2020-05-01 01:22:58 +02:00
|
|
|
|
2020-05-01 01:53:21 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.843 2012-12-01
|
|
|
|
|
==========================
|
2020-04-04 14:50:56 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-03-08 13:40:33 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add +1364-1995ext and similar language options. (#532) [Jeremy Bennett]
|
|
|
|
|
* Fix mis-optimized identical submodule subtract. (#581) [Charlie Brej]
|
|
|
|
|
* Fix crash on dotted references into dead modules. (#583) [Jeremy Bennett]
|
|
|
|
|
* Fix compile issues on MSVCC. (#571) (#577) [Amir Gonnen]
|
|
|
|
|
* Fix --debug overriding preceding --dump-treei. (#580) [Jeremy Bennett]
|
2020-03-15 03:02:42 +01:00
|
|
|
|
2020-04-04 02:07:46 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.842 2012-11-03
|
|
|
|
|
==========================
|
2020-03-08 14:03:29 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-03-15 13:09:51 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add -x-initial-edge. (#570) [Jeremy Bennett]
|
|
|
|
|
* Fix parameter pins interspersed with cells broke in 3.840. [Bernard Deadman]
|
|
|
|
|
* Fix large shift error on large shift constants. [David Welch]
|
|
|
|
|
* Fix $display mangling on GCC 4.7 and speed up. (#1765) (#373) (#574) [R Diez]
|
|
|
|
|
* Fix array of struct references giving false error. (#566) [Julius Baxter]
|
|
|
|
|
* Fix missing var access functions when no DPI. (#572) [Amir Gonnen]
|
|
|
|
|
* Fix name collision on unnamed blocks. (#567) [Chandan Egbert]
|
|
|
|
|
* Fix name collision on task inputs. (#569) [Chandan Egbert]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 3.841 2012-09-03
|
|
|
|
|
==========================
|
2020-04-02 00:43:53 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2020-04-03 14:08:23 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add --savable to support model save/restore. [Jeremy Bennett]
|
2020-03-21 17:13:55 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-03-24 22:32:47 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support '{} assignment pattern on structures, part of #355.
|
|
|
|
|
* Fix double-deep parameter cell WIDTHs. (#541) [Hiroki Honda]
|
|
|
|
|
* Fix imports under multiple instantiated cells. (#542) [Alex Solomatnikov]
|
|
|
|
|
* Fix defparam in generate broke in 3.840. (#543) [Alex Solomatnikov]
|
|
|
|
|
* Fix duplicate begin error broke in 3.840. (#548) [Alex Solomatnikov]
|
|
|
|
|
* Fix triangle symbol resolution error broke in 3.840. (#550) [Ted Campbell]
|
2020-03-24 22:57:12 +01:00
|
|
|
|
2020-03-26 23:10:20 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.840 2012-07-31 Beta
|
|
|
|
|
===============================
|
|
|
|
|
|
|
|
|
|
**Major:**
|
2020-03-31 00:13:51 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Rewrote tristate handling; supports tri0, tri1, tristate bit selects,
|
|
|
|
|
concatenates and pullup/pulldowns. (#395) (#56) (#54) (#51)
|
|
|
|
|
[Alex Solomatnikov, Lane Brooks, et al]
|
|
|
|
|
* Support packed structures and unions. (#181)
|
|
|
|
|
Note this was a major internal change that may lead to some instability.
|
2020-03-31 00:13:51 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-04-01 02:42:07 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support tri0 and tri1. (#462) [Alex Solomatnikov]
|
|
|
|
|
* Support nmos and pmos. (#488) [Alex Solomatnikov]
|
|
|
|
|
* Add INITIALDLY warning on initial assignments. (#478) [Alex Solomatnikov]
|
|
|
|
|
* Add PINMISSING and PINNOCONNECT lint checks.
|
|
|
|
|
* Add --converge-limit option.
|
|
|
|
|
* Fix generate operators not short circuiting. (#413) [by Jeremy Bennett]
|
|
|
|
|
* Fix parameters not supported in constant functions. (#474) [Alex Solomatnikov]
|
|
|
|
|
* Fix duplicate warnings/errors. (#516) [Alex Solomatnikov]
|
|
|
|
|
* Fix signed extending biops with WIDTH warning off. (#511) [Junji Hashimoto]
|
|
|
|
|
* Fix ITOD internal error on real conversions. (#491) [Alex Solomatnikov]
|
|
|
|
|
* Fix input and real loosing real data type. (#501) [Alex Solomatnikov]
|
|
|
|
|
* Fix imports causing symbol table error. (#490) [Alex Solomatnikov]
|
|
|
|
|
* Fix newlines in radix values. (#507) [Walter Lavino]
|
|
|
|
|
* Fix loop error message to report line. (#513) [Jeremy Bennett]
|
|
|
|
|
* Fix false UNUSED warning on file system calls.
|
|
|
|
|
* Fix GCC 4.7.0 compile warnings. (#530) [Jeremy Bennett]
|
|
|
|
|
* Fix svdpi.h compile error on Apple OS.
|
|
|
|
|
* Fix compile error under git submodules. (#534) [Aurelien Francillon]
|
2020-03-08 13:40:33 +01:00
|
|
|
|
2020-02-08 15:16:35 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.833 2012-04-15
|
|
|
|
|
==========================
|
2020-02-29 01:15:08 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-03-02 03:39:23 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support += and -= in standard for loops. (#463) [Alex Solomatnikov]
|
2021-04-12 00:55:06 +02:00
|
|
|
* Fix processing unused parameterized modules. (#469) (#470) [Alex Solomatnikov]
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add SELRANGE as warning instead of error. (#477) [Alex Solomatnikov]
|
|
|
|
|
* Add readme.pdf and internal.pdf and doxygen. (#483) [by Jeremy Bennett]
|
|
|
|
|
* Fix change detections on arrays. (#364) [John Stevenson, Alex Solomatnikov]
|
|
|
|
|
* Fix signed array warning. (#456) [Alex Solomatnikov]
|
|
|
|
|
* Fix genvar and begin under generate. (#461) [Alex Solomatnikov]
|
|
|
|
|
* Fix real constant parameter functions. (#475) [Alex Solomatnikov]
|
|
|
|
|
* Fix and document --gdb option. (#454) [Jeremy Bennett]
|
|
|
|
|
* Fix OpenSolaris compile error. [Sanjay Singh]
|
2020-03-07 16:24:27 +01:00
|
|
|
|
2020-02-24 01:33:37 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.832 2012-03-07
|
|
|
|
|
==========================
|
2020-02-24 01:33:37 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-03-07 16:51:06 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix memory delayed assignments from multiple clock domains. [Andrew Ling]
|
|
|
|
|
* Support arrayed SystemC I/O pins. [Christophe Joly]
|
|
|
|
|
* Report MULTIDRIVEN on memories set in multiple clock domains.
|
|
|
|
|
* Report ENDLABEL on mismatching end labels. (#450) [Iztok Jeras]
|
|
|
|
|
* Fix expansion of back-slashed escaped macros. (#441) [Alberto Del Rio]
|
|
|
|
|
* Fix inheriting real and signed type across untyped parameters.
|
|
|
|
|
* Fix core dump with over 100 deep UNOPTFLAT. (#432) [Joe Eiler]
|
|
|
|
|
* Fix false command not found warning in makefiles. [Ruben Diez]
|
|
|
|
|
* Fix hang when functions inside begin block. [David Welch]
|
|
|
|
|
* Fix hang on recursive substitution `defines. (#443) [Alex Solomatnikov]
|
2020-02-26 04:21:16 +01:00
|
|
|
|
2020-03-06 03:49:25 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.831 2012-01-20
|
|
|
|
|
==========================
|
2020-02-27 13:46:34 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2020-02-24 01:33:37 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support SystemC 2.3.0 prerelease. This requires setting the new
|
|
|
|
|
SYSTEMC_INCLUDE and SYSTEMC_LIBDIR variables in place of now
|
|
|
|
|
deprecated SYSTEMC and SYSTEMC_ARCH. [Iztok Jeras]
|
2020-02-08 15:16:35 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-01-11 15:08:33 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Suppress VARHIDDEN on dpi import arguments. [Ruben Diez]
|
|
|
|
|
* Support "generate for (genvar i=0; ...". [David Kravitz]
|
|
|
|
|
* Fix dpi exports with > 32 bit but < 64 bit args. (#423) [Chandan Egbert]
|
|
|
|
|
* Fix array of instantiations with sub-range output. (#414) [Jeremy Bennett]
|
|
|
|
|
* Fix BLKSEQ warnings on variables declared inside always. [Ruben Diez]
|
2020-01-12 10:03:17 +01:00
|
|
|
|
|
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.830 2011-11-27
|
|
|
|
|
==========================
|
2020-02-01 01:13:55 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2020-01-25 21:29:44 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* With "--language VAMS" support a touch of Verilog AMS. [Holger Waechtler]
|
2020-01-23 23:32:19 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-01-15 13:32:45 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add sc_bv attribute to force bit vectors. (#402) [by Stefan Wallentowitz]
|
|
|
|
|
* Search for user -y paths before default current directory. [Ruben Diez]
|
|
|
|
|
* Support constants in sensitivity lists. (#412) [Jeremy Bennett]
|
|
|
|
|
* Support $system. [Ruben Diez]
|
|
|
|
|
* Support $sscanf with %g. [Holger Waechtler]
|
|
|
|
|
* Indicate 'exiting due to errors' if errors, not warnings. [Ruben Diez]
|
|
|
|
|
* Fix bad result with if-else-return optimization. (#420) [Alex Solomatnikov]
|
|
|
|
|
* Fix reporting not found modules if generate-off. (#403) [Jeremy Bennett]
|
|
|
|
|
* Fix $display with %d following %g. [Holger Waechtler]
|
2020-01-26 16:28:13 +01:00
|
|
|
|
2020-01-14 13:01:17 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.824 2011-10-25
|
|
|
|
|
==========================
|
2020-01-26 22:38:22 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-01-27 23:25:25 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix "always @ (* )". (#403) (#404) [Walter Lavino]
|
2021-04-12 00:55:06 +02:00
|
|
|
* Add ASSIGNIN as suppressible error. [Jeremy Bennett]
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix 3.823 constructor core dump on Debian. (#401) [Ahmed El-Mahmoudy]
|
2020-02-07 23:35:04 +01:00
|
|
|
|
2020-01-15 00:51:20 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.823 2011-10-20
|
|
|
|
|
==========================
|
2020-01-20 20:08:13 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-01-11 15:16:26 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support $ceil, $floor, etc. [Alex Solomatnikov]
|
|
|
|
|
* Add configure options for cc warnings and extended tests. [Ruben Diez]
|
|
|
|
|
* Add -Wall reporting ASSIGNDLY on assignment delays. [Ruben Diez]
|
|
|
|
|
* Fix UNDRIVEN warnings inside DPI import functions. [Ruben Diez]
|
|
|
|
|
* Fix --help output to go to stderr, not stdout. (#397) [Ruben Diez]
|
|
|
|
|
* Fix DPI import output of 64 bits. (#398) [Mike Denio]
|
|
|
|
|
* Fix DPI import false BLKSEQ warnings. [Alex Solomatnikov]
|
|
|
|
|
* Fix MSVC compile warning with trunc/round. (#394) [Amir Gonnen]
|
|
|
|
|
* Fix autoconf and Makefile warnings. (#396) [Ruben Diez]
|
2020-01-21 12:17:31 +01:00
|
|
|
|
2020-01-30 03:16:44 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.821 2011-09-14
|
|
|
|
|
==========================
|
2020-01-21 17:03:21 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-01-25 01:46:46 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix PowerPC runtime error. (#288) [Ahmed El-Mahmoudy]
|
|
|
|
|
* Fix internal error on integer casts. (#374) [Chandan Egbert]
|
2020-01-29 02:10:10 +01:00
|
|
|
|
2020-02-05 22:51:33 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.820 2011-07-28
|
|
|
|
|
==========================
|
2020-02-24 11:36:09 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-01-11 15:08:33 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support 'real' numbers and related functions.
|
|
|
|
|
* Support 'const' variables in limited cases; similar to enums. [Alex Solomatnikov]
|
|
|
|
|
* Support disable for loop escapes.
|
|
|
|
|
* Support $fopen and I/O with integer instead of `verilator_file_descriptor.
|
|
|
|
|
* Support coverage in -cc and -sc output modes. [John Li]
|
|
|
|
|
Note this requires SystemPerl 1.338 or newer.
|
|
|
|
|
* Use 'vluint64_t' for SystemC instead of (same sized) 'uint64' for MSVC++.
|
|
|
|
|
* Fix vpi_register_cb using bad s_cb_data. (#370) [by Thomas Watts]
|
|
|
|
|
* Fix $display missing leading zeros in %0d. (#367) [Alex Solomatnikov]
|
2019-12-08 19:50:14 +01:00
|
|
|
|
2020-01-10 02:09:50 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.813 2011-06-28
|
|
|
|
|
==========================
|
2019-12-08 21:56:49 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-12-23 22:49:18 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support bit vectors > 64 bits wide in DPI import and exports.
|
|
|
|
|
* Fix out of memory on slice syntax error. (#354) [Alex Solomatnikov]
|
|
|
|
|
* Fix error on enum references to other packages. (#339) [Alex Solomatnikov]
|
|
|
|
|
* Fix DPI undeclared svBitVecVal compile error. (#346) [Chandan Egbert]
|
|
|
|
|
* Fix DPI bit vector compile errors. (#347) (#359) [Chandan Egbert]
|
|
|
|
|
* Fix CDCRSTLOGIC report showing endpoint flops without resets.
|
|
|
|
|
* Fix compiler warnings on SPARC. (#288) [Ahmed El-Mahmoudy]
|
2019-12-10 01:17:52 +01:00
|
|
|
|
2019-12-17 03:43:52 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.812 2011-04-06
|
|
|
|
|
==========================
|
2019-12-13 02:53:58 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-12-11 00:55:09 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add --trace-max-width and --trace-max-array. (#319) [Alex Solomatnikov]
|
|
|
|
|
* Add --Wno-fatal to turn off abort on warnings. [by Stefan Wallentowitz]
|
|
|
|
|
* Support ${...} and $(...) env vars in .vc files. [by Stefan Wallentowitz]
|
|
|
|
|
* Support $bits(data_type). (#327) [Alex Solomatnikov]
|
|
|
|
|
* Support loop unrolling on width mismatches. (#333) [Joe Eiler]
|
|
|
|
|
* Support simple cast operators. (#335) [Alex Solomatnikov]
|
|
|
|
|
* Accelerate bit-selected inversions.
|
|
|
|
|
* Add error on circular parameter definitions. (#329) [Alex Solomatnikov]
|
|
|
|
|
* Fix concatenates and vectored bufif1. (#326) [Iztok Jeras]
|
2019-12-14 01:11:37 +01:00
|
|
|
|
2019-12-15 04:04:58 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.811 2011-02-14
|
|
|
|
|
==========================
|
2019-12-17 03:54:20 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-12-11 23:15:45 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Report error on duplicated or empty pins. (#321) [Christian Leber]
|
|
|
|
|
* Report error on function call output tied to constant. [Bernard Deadman]
|
2021-04-12 00:55:06 +02:00
|
|
|
* Throw UNUSED/UNDRIVEN only once per net in a parameterized module.
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix internal error on functions called as SV tasks. [Bernard Deadman]
|
|
|
|
|
* Fix internal error on non-inlined inout pins. [Jeff Winston]
|
|
|
|
|
* Fix false BLKSEQ on non-unrolled for loop indexes. [Jeff Winston]
|
|
|
|
|
* Fix block comment not separating identifiers. (#311) [Gene Sullivan]
|
|
|
|
|
* Fix warnings to point to lowest net usage, not upper level ports.
|
|
|
|
|
* Fix error on constants connected to outputs. (#323) [Christian Leber]
|
2019-12-17 12:08:41 +01:00
|
|
|
|
2019-12-20 12:58:05 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.810 2011-01-03
|
|
|
|
|
==========================
|
2019-12-22 21:49:10 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2019-12-23 13:47:57 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add limited support for VPI access to public signals, see docs.
|
|
|
|
|
* Add -F option to read relative option files. (#297) [Neil Hamilton]
|
|
|
|
|
* Support ++,--,+= etc as standalone statements. [Alex Solomatnikov]
|
|
|
|
|
* Add -Wall, -Wwarn-style, -Wno-style to enable code style warnings
|
|
|
|
|
that have been added to this release, and disabled by default:
|
|
|
|
|
* With --Wall, add BLKSEQ warning on blocking assignments in seq blocks.
|
|
|
|
|
* With --Wall, add DECLFILENAME warning on modules not matching filename.
|
|
|
|
|
* With --Wall, add DEFPARAM warning on deprecated defparam statements.
|
|
|
|
|
* With --Wall, add IFDEPTH warning on deep if statements.
|
|
|
|
|
* With --Wall, add INCABSPATH warning on `include with absolute paths.
|
|
|
|
|
* With --Wall, add SYNCASYNCNET warning on mixed sync/async reset nets.
|
|
|
|
|
* With --Wall, add UNDRIVEN warning on undriven nets.
|
|
|
|
|
* With --Wall, add UNUSED warning on unused nets.
|
2020-01-08 13:32:31 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-01-10 00:04:49 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* When running with VERILATOR_ROOT, optionally find binaries under bin.
|
|
|
|
|
* Suppress WIDTH warnings when adding/subtracting 1'b1.
|
|
|
|
|
* The VARHIDDEN warning is now disabled by default, use -Wall to enable.
|
2020-01-10 01:39:27 +01:00
|
|
|
|
2020-01-10 02:01:12 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.805 2010-11-02
|
|
|
|
|
==========================
|
2019-12-08 19:50:14 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-11-10 20:25:54 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add warning when directory contains spaces. (#1705) [Salman Sheikh]
|
|
|
|
|
* Fix wrong filename on include file errors. (#289) [Brad Parker]
|
|
|
|
|
* Fix segfault on SystemVerilog "output wire foo=0". (#291) [Joshua Wise]
|
|
|
|
|
* Fix DPI export name not found. (#1703) [Terry Chen]
|
2019-12-01 18:35:49 +01:00
|
|
|
|
2019-12-01 17:52:48 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.804 2010-09-20
|
|
|
|
|
==========================
|
|
|
|
|
|
|
|
|
|
**Minor:**
|
|
|
|
|
|
|
|
|
|
* Support tracing/coverage of underscore signals. (#280) [by Jason McMullan]
|
|
|
|
|
* Increase define recursions before error. [Paul Liu]
|
|
|
|
|
* On core dump, print debug suggestions.
|
|
|
|
|
* Fix preprocessor \`\` of existing base define. (#283) [Usha Priyadharshini]
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 3.803 2010-07-10
|
|
|
|
|
==========================
|
2019-11-17 00:25:47 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-11-17 11:05:09 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix preprocessor preservation of newlines across macro substitutions.
|
|
|
|
|
* Fix preprocessor stringification of nested macros.
|
|
|
|
|
* Fix some constant parameter functions causing crash. (#253) [Nick Bowler]
|
|
|
|
|
* Fix do {...} while() not requiring final semicolon.
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilator 3.802 2010-05-01
|
|
|
|
|
==========================
|
2019-11-16 18:21:35 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-11-23 14:34:40 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support runtime access to public signal names.
|
|
|
|
|
* Add /*verilator public_flat_rw*/ for timing-specific public access.
|
|
|
|
|
* Fix word size to match uint64_t on -m64 systems. (#238) [Joe Eiler]
|
|
|
|
|
* Improve error handling on slices of arrays. (#226) [by Byron Bradley]
|
|
|
|
|
* Report errors when extra underscores used in meta-comments.
|
|
|
|
|
* Fix bit reductions on multi-packed dimensions. (#227) [by Byron Bradley]
|
|
|
|
|
* Fix removing $fscanf if assigned to unused var. (#248) [Ashutosh Das]
|
|
|
|
|
* Fix "make install" with configure outside srcdir. [Stefan Wallentowitz]
|
|
|
|
|
* Fix loop unroller out of memory; change --unroll-stmts. [Ashutosh Das]
|
|
|
|
|
* Fix trace files with empty modules crashing some viewers.
|
|
|
|
|
* Fix parsing single files > 2GB. [Jeffrey Short]
|
|
|
|
|
* Fix installing data files as non-executable. (#168) [by Ahmed El-Mahmoudy]
|
2019-11-16 00:24:55 +01:00
|
|
|
|
2019-11-16 16:19:43 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.801 2010-03-17
|
|
|
|
|
==========================
|
2019-12-04 00:22:17 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-12-07 22:49:11 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support "break", "continue", "return".
|
|
|
|
|
* Support "`default_nettype none|wire". [Dominic Plunkett]
|
|
|
|
|
* Skip SystemC tests if not installed. [Iztok Jeras]
|
|
|
|
|
* Fix clock-gates with non-AND complex logic. (#220) [Ashutosh Das]
|
|
|
|
|
* Fix flushing VCD buffers on $stop. [Ashutosh Das]
|
|
|
|
|
* Fix Mac OS-X compile issues. (#217) [Joshua Wise, Trevor Williams]
|
|
|
|
|
* Fix make uninstall. (#216) [Iztok Jeras]
|
|
|
|
|
* Fix parameterized defines with empty arguments.
|
2019-11-16 23:23:51 +01:00
|
|
|
|
2019-11-16 17:59:21 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.800 2010-02-07
|
|
|
|
|
==========================
|
2019-11-20 01:23:40 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major application visible changes:**
|
2019-11-20 04:43:45 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* SystemPerl is no longer required for tracing.
|
|
|
|
|
Applications must use VerilatedVcdC class in place of SpTraceVcdC.
|
|
|
|
|
* SystemVerilog 1800-2009 is now the default language.
|
|
|
|
|
Thus "global" etc are now keywords. See the --language option.
|
2019-11-21 03:23:03 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major new features:**
|
2019-11-22 01:29:46 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support SystemVerilog types "byte", "chandle", "int", "longint",
|
|
|
|
|
"shortint", "time", "var" and "void" in variables and functions.
|
|
|
|
|
* Support "program", "package", "import" and $unit.
|
|
|
|
|
* Support typedef and enum. [by Donal Casey]
|
|
|
|
|
* Support direct programming interface (DPI) "import" and "export".
|
|
|
|
|
Includes an extension to map user $system PLI calls to the DPI.
|
|
|
|
|
* Support assignments of multidimensional slices. (#170) [by Byron Bradley]
|
|
|
|
|
* Support multidimensional inputs/outputs. (#171) [by Byron Bradley]
|
|
|
|
|
* Support "reg [1:0][1:0][1:0]" and "reg x [3][2]". (#176) [Byron Bradley]
|
|
|
|
|
* Support declarations in loop initializers. (#172) [by Byron Bradley]
|
|
|
|
|
* Support $test$plusargs and $value$plusargs, but see the docs!
|
|
|
|
|
* Support $sformat and $swrite.
|
|
|
|
|
* Support 1800-2009 define defaults and `undefineall.
|
|
|
|
|
* Add -CFLAGS, -LDFLAGS, <file>.a, <file>.o, and <file>.so options.
|
|
|
|
|
* Speed compiles by avoiding including the STL iostream header.
|
|
|
|
|
Application programs may need to include it themselves to avoid errors.
|
|
|
|
|
* Add experimental clock domain crossing checks.
|
|
|
|
|
* Add experimental --pipe-filter to filter all Verilog input.
|
|
|
|
|
* Add experimental config files to filter warnings outside of the source.
|
|
|
|
|
* Add VARHIDDEN warning when signal name hides module name.
|
|
|
|
|
* Support optional cell parenthesis. (#179) [by Byron Bradley]
|
|
|
|
|
* Support for-loop i++, ++i, i--, --i. (#175) [by Byron Bradley]
|
|
|
|
|
* Support 1800-2009 /*comments*/ in define values.
|
|
|
|
|
* Add Makefile VM_GLOBAL_FAST, listing objects needed to link executables.
|
|
|
|
|
* Add --bbox-unsup option to black-box unsupported UDP tables.
|
|
|
|
|
* Add -Wno-MODDUP option to allow duplicate modules.
|
2019-12-01 23:19:03 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Bug fixes:**
|
2019-12-03 01:03:33 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix implicit variable issues. (#196) (#201) [Byron Bradley]
|
|
|
|
|
* Fix 'for' variable typing. (#205) [by Byron Bradley]
|
|
|
|
|
* Fix tracing with --pins-bv 1. (#195) [Michael S]
|
|
|
|
|
* Fix MSVC++ 2008 compile issues. (#209) [Amir Gonnen]
|
|
|
|
|
* Fix MinGW compilation. (#184) (#214) [by Shankar Giri, Amir Gonnen]
|
|
|
|
|
* Fix Cygwin 1.7.x compiler error with uint32_t. (#204) [Ivan Djordjevic]
|
|
|
|
|
* Fix `define argument mis-replacing system task of same name. (#191)
|
|
|
|
|
* Fix Verilator core dump on wide integer divides. (#178) [Byron Bradley]
|
|
|
|
|
* Fix lint_off/lint_on meta comments on same line as warning.
|
2019-12-05 01:25:45 +01:00
|
|
|
|
2019-12-07 15:42:09 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.720 2009-10-26
|
|
|
|
|
==========================
|
2019-12-07 21:53:34 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2019-11-10 20:25:54 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support little endian bit vectors ("reg [0:2] x;").
|
|
|
|
|
* Support division and modulus of > 64 bit vectors. [Gary Thomas]
|
2019-10-06 16:04:45 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-10-09 14:28:53 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix writing to out-of-bounds arrays writing element 0.
|
|
|
|
|
* Fix core dump with SystemVerilog var declarations under unnamed begins.
|
|
|
|
|
* Fix VCD files showing internal flattened hierarchy, broke in 3.714.
|
|
|
|
|
* Fix cell port connection to unsized integer causing false width warning.
|
|
|
|
|
* Fix erroring on strings with backslashed newlines. (#168) [Pete Nixon]
|
2019-10-18 01:44:10 +02:00
|
|
|
|
2019-10-06 16:32:49 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.714 2009-09-18
|
|
|
|
|
==========================
|
2019-10-06 19:24:21 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2019-10-27 14:27:18 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add --bbox-sys option to blackbox $system calls.
|
2019-11-01 02:17:05 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-10-08 01:23:06 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support generate for var++, var--, ++var, --var.
|
|
|
|
|
* Improved warning when "do" used as identifier.
|
|
|
|
|
* Don't require SYSTEMPERL_INCLUDE if SYSTEMPERL/src exists. [Gary Thomas]
|
|
|
|
|
* Fix deep defines causing flex scanner overflows. [Brad Dobbie]
|
|
|
|
|
* Fix preprocessing commas in deep parameterized macros. [Brad Dobbie]
|
|
|
|
|
* Fix tracing escaped dotted identifiers. (#107)
|
|
|
|
|
* Fix $display with uppercase %M.
|
|
|
|
|
* Fix --error-limit option being ignored.
|
2019-10-27 22:34:04 +01:00
|
|
|
|
2019-11-10 00:31:24 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.713 2009-08-04
|
|
|
|
|
==========================
|
2019-11-08 14:26:48 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-10-07 13:38:40 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support constant function calls for parameters. [many!]
|
|
|
|
|
* Support SystemVerilog "logic". (#101) [by Alex Duller]
|
|
|
|
|
* Name SYMRSVDWORD error, and allow disabling it. (#103) [Gary Thomas]
|
|
|
|
|
* Fix escaped preprocessor identifiers. (#106) [Nimrod Gileadi]
|
2019-10-24 13:33:19 +02:00
|
|
|
|
2019-11-10 18:12:57 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.712 2009-07-14
|
|
|
|
|
==========================
|
2019-11-06 03:15:44 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2019-10-08 01:27:31 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Patching SystemC is no longer required to trace sc_bvs.
|
2019-10-16 01:06:00 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-10-23 14:32:02 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add verilator --pins-uint8 option to use sc_in<uint8_t/uint16_t>.
|
|
|
|
|
* Add verilator -V option, to show verbose version.
|
|
|
|
|
* Add BLKLOOPINIT error code, and describe --unroll-count. [Jeff Winston]
|
|
|
|
|
* Support zero-width constants in concatenations. [Jeff Winston]
|
|
|
|
|
* On WIDTH warnings, show variable name causing error. [Jeff Winston]
|
2019-10-06 16:04:45 +02:00
|
|
|
|
2019-08-30 01:12:47 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.711 2009-06-23
|
|
|
|
|
==========================
|
2019-09-23 13:56:07 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2020-02-04 00:43:41 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support decimal constants of arbitrary widths. [Mark Marshall]
|
|
|
|
|
* Fix error on case statement with all duplicate items. (#99) [Gary Thomas]
|
|
|
|
|
* Fix segfault on unrolling for's with bad inits. (#90) [Andreas Olofsson]
|
|
|
|
|
* Fix tristates causing "Assigned pin is neither...". [by Lane Brooks]
|
|
|
|
|
* Fix compiler errors under Fedora release candidate 11. [Chitlesh Goorah]
|
2019-10-02 03:57:45 +02:00
|
|
|
|
2019-09-04 12:15:41 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.710 2009-05-19
|
|
|
|
|
==========================
|
2019-10-05 01:13:39 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2019-09-13 01:06:26 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
2019-09-13 13:20:26 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-09-17 21:17:23 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* `__FILE__ now expands to a string, per draft SystemVerilog 2010(ish).
|
|
|
|
|
* The front end parser has been re-factored to enable more SV parsing.
|
|
|
|
|
Code should parse the same, but minor parsing bugs may pop up.
|
|
|
|
|
* Verilator_includer is no longer installed twice. (#48) [Lane Brooks]
|
|
|
|
|
* Fix escaped identifiers with '.' causing conflicts. (#83) [J Baxter]
|
|
|
|
|
* Fix define formal arguments that contain newlines. (#84) [David A]
|
2019-09-18 13:22:59 +02:00
|
|
|
|
2019-09-26 03:53:01 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.703 2009-05-02
|
|
|
|
|
==========================
|
2019-10-01 00:50:27 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-10-05 01:13:39 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix $clog2 calculation error with powers-of-2. (#81) [Patricio Kaplan]
|
|
|
|
|
* Fix error with tasks that have output first. (#78) [Andrea Foletto]
|
|
|
|
|
* Fix "cloning" error with -y/--top-module. (#76) [Dimitris Nalbantis]
|
|
|
|
|
* Fix segfault with error on bad --top-module. (#79) [Dimitris Nalbantis]
|
|
|
|
|
* Fix "redefining I" error with complex includes. [Duraid Madina]
|
|
|
|
|
* Fix GCC 4.3.2 compile warnings.
|
2020-02-04 00:43:41 +01:00
|
|
|
|
2019-08-30 01:12:47 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.702 2009-03-28
|
|
|
|
|
==========================
|
2019-06-16 16:00:54 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-07-14 02:30:32 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add --pins-bv option to use sc_bv for all ports. [Brian Small]
|
|
|
|
|
* Add SYSTEMPERL_INCLUDE envvar to assist RPM builds. [Chitlesh Goorah]
|
|
|
|
|
* Report errors when duplicate labels are used. (#72) [Vasu Kandadi]
|
|
|
|
|
* Fix the SC_MODULE name() to not include __PVT__. [Bob Fredieu]
|
2019-07-26 18:52:38 +02:00
|
|
|
|
2019-07-26 12:24:26 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.701 2009-02-26
|
|
|
|
|
==========================
|
2019-06-30 22:46:48 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-08-29 23:00:49 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support repeat and forever statements. [Jeremy Bennett]
|
|
|
|
|
* Add --debugi-<srcfile> option, for internal debugging. [Dennis Muhlestein]
|
|
|
|
|
* Fix compile issues with GCC 4.3. (#47) [Lane Brooks]
|
|
|
|
|
* Fix VL_RANDom to better randomize bits. [Art Stamness]
|
|
|
|
|
* Fix error messages to consistently go to stderr. [Jeremy Bennett]
|
|
|
|
|
* Fix left associativity for ?: operators.
|
2019-06-22 23:01:39 +02:00
|
|
|
|
2019-08-05 04:34:54 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.700 2009-01-08
|
|
|
|
|
==========================
|
2019-06-22 18:43:48 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2019-06-29 17:28:22 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support limited tristate inouts. Written by Lane Brooks,
|
|
|
|
|
under support by Ubixum Inc. This allows common pad ring and
|
|
|
|
|
tristate-mux structures to be Verilated. See the documentation for
|
|
|
|
|
more information on supported constructs.
|
|
|
|
|
* Add --coverage_toggle for toggle coverage analysis.
|
|
|
|
|
Running coverage now requires SystemPerl 1.301 or newer.
|
2021-04-03 19:11:26 +02:00
|
|
|
* Add coverage_on/_off metacomments to bracket coverage regions.
|
2019-07-06 19:02:36 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-07-06 22:26:44 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support posedge of bit-selected signals. (#45) [Rodney Sinclair]
|
|
|
|
|
* Optimize two-level shift and and/or trees, +23% on one test.
|
|
|
|
|
* Line coverage now aggregates by hierarchy automatically.
|
|
|
|
|
Previously this would be done inside SystemPerl, which was slower.
|
|
|
|
|
* Minor performance improvements of Verilator compiler runtime.
|
2021-04-12 00:55:06 +02:00
|
|
|
* Coverage of each parameterized module is counted separately. [Bob Fredieu]
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix creating parameterized modules when no parameter values are changed.
|
|
|
|
|
* Fix certain generate-if cells causing "clone" error. [Stephane Laurent]
|
|
|
|
|
* Fix line coverage of public functions. [Soon Koh]
|
|
|
|
|
* Fix SystemC 2.2 deprecated warnings about sensitive() and sc_start().
|
|
|
|
|
* Fix arrayed variables under function not compiling. (#44) [Ralf Karge]
|
|
|
|
|
* Fix --output-split-cfuncs to also split trace code. [Niranjan Prabhu]
|
|
|
|
|
* Fix 'bad select range' warning missing some cases. (#43) [Lane Brooks]
|
|
|
|
|
* Fix internal signal names containing control characters (broke in 3.680).
|
|
|
|
|
* Fix compile error on Ubuntu 8.10. [Christopher Boumenot]
|
|
|
|
|
* Fix internal error on "output x; reg x = y;".
|
|
|
|
|
* Fix wrong result for read of delayed FSM signal. (#46) [Rodney Sinclair]
|
2019-08-04 03:49:39 +02:00
|
|
|
|
2019-08-28 03:36:59 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.681 2008-11-12
|
|
|
|
|
==========================
|
2019-06-16 16:00:54 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-05-09 03:39:23 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support SystemVerilog unique and priority case.
|
|
|
|
|
* Include Verilog file's directory name in coverage reports.
|
|
|
|
|
* Fix 'for' under 'generate-for' causing error. (#38) [Rafael Shirakawa]
|
|
|
|
|
* Fix coverage hierarchy being backwards with inlining. [Vasu Arasanipalai]
|
|
|
|
|
* Fix GCC 4.3 compile error. (#35) [Lane Brooks]
|
|
|
|
|
* Fix MSVC compile error. (#42) [John Stroebel]
|
2019-05-14 12:47:51 +02:00
|
|
|
|
2019-05-31 02:30:59 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.680 2008-10-08
|
|
|
|
|
==========================
|
2019-06-02 01:40:06 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2019-05-12 14:19:49 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support negative bit indexes. [Stephane Laurent]
|
|
|
|
|
Tracing negative indexes requires latest Verilog-Perl and SystemPerl.
|
2019-05-31 13:33:57 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-05-17 01:35:10 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Suppress width warnings between constant strings and wider vectors.
|
|
|
|
|
[Rodney Sinclair]
|
|
|
|
|
* Ignore SystemVerilog timeunit and timeprecision.
|
|
|
|
|
* Expand environment variables in -f input files. [Lawrence Butcher]
|
|
|
|
|
* Report error if port declaration is missing. (#32) [Guy-Armand Kamendje]
|
|
|
|
|
* Fix genvars causing link error when using --public. [Chris Candler]
|
2019-05-14 12:07:16 +02:00
|
|
|
|
2019-05-15 04:03:50 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.671 2008-09-19
|
|
|
|
|
==========================
|
2019-05-17 03:21:38 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2019-05-17 03:15:02 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* SystemC uint64_t pins are now the default instead of sc_bv<64>.
|
|
|
|
|
Use --no-pins64 for backward compatibility.
|
|
|
|
|
* Support SystemVerilog "cover property" statements.
|
2019-05-30 00:41:03 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-06-04 01:13:03 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* When warnings are disabled on signals that are flattened out, disable
|
|
|
|
|
the warnings on the signal(s) that replace it.
|
|
|
|
|
* Add by-design and by-module subtotals to verilator_profcfunc.
|
|
|
|
|
* Add IMPERFECTSCH warning, disabled by default.
|
|
|
|
|
* Support coverage under SystemPerl 1.285 and newer.
|
|
|
|
|
* Support arbitrary characters in identifiers. [Stephane Laurent]
|
|
|
|
|
* Fix extra evaluation of pure combo blocks in SystemC output.
|
|
|
|
|
* Fix stack overflow on large ? : trees. [John Sanguinetti]
|
2019-06-06 02:39:42 +02:00
|
|
|
|
2019-06-12 03:38:17 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.670 2008-07-23
|
|
|
|
|
==========================
|
2019-06-13 01:17:10 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2019-06-15 00:42:27 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add --x-assign=fast option, and make it the default.
|
|
|
|
|
This chooses performance over reset debugging. See the manual.
|
|
|
|
|
* Add --autoflush, for flushing streams after $display. [Steve Tong]
|
|
|
|
|
* Add CASEWITHX lint warning and if disabled fix handling of casez with Xs.
|
2019-06-15 14:03:39 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-05-09 03:39:23 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add $feof, $fgetc, $fgets, $fflush, $fscanf, $sscanf. [Holger Waechtler]
|
|
|
|
|
* Add $stime. [Holger Waechtler]
|
|
|
|
|
* Add $random.
|
|
|
|
|
* Add --Wfuture-, for improving forward compatibility.
|
|
|
|
|
* Add WIDTH warning to $fopen etc file descriptors.
|
|
|
|
|
* Fix verilator_includer not being installed properly. [Holger Waechtler]
|
|
|
|
|
* Fix IMPURE errors due to X-assignment temporary variables. [Steve Tong]
|
|
|
|
|
* Fix "lvalue" errors with public functions. (#25) [CY Wang]
|
2019-03-24 02:14:30 +01:00
|
|
|
|
2019-05-03 02:33:05 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.665 2008-06-25
|
|
|
|
|
==========================
|
2019-03-27 12:40:14 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-05-02 02:02:28 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Ignore "// verilator" comments alone on endif lines. [Rodney Sinclair]
|
|
|
|
|
* "Make install" now installs verilator_includer and verilator_profcfunc.
|
|
|
|
|
* Fix tracing missing changes on undriven public wires. [Rodney Sinclair]
|
|
|
|
|
* Fix syntax error when "`include `defname" is ifdefed. [John Dickol]
|
|
|
|
|
* Fix error when macro call has commas in concatenate. [John Dickol]
|
|
|
|
|
* Fix compile errors under Fedora 9, GCC 4.3.0. [by Jeremy Bennett]
|
|
|
|
|
* Fix Makefile to find headers/libraries under prefix. [by Holger Waechtler]
|
2019-05-03 01:55:16 +02:00
|
|
|
|
2019-05-01 01:16:41 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.664 2008-05-08
|
|
|
|
|
==========================
|
2019-05-08 03:57:38 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-03-29 00:11:46 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix missing file in kit.
|
2019-04-03 00:24:36 +02:00
|
|
|
|
2019-04-11 02:51:38 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.663 2008-05-07
|
|
|
|
|
==========================
|
2019-04-29 11:28:30 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-05-02 01:18:45 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add DESTDIR to Makefiles to assist RPM construction. [Gunter Dannoritzer]
|
|
|
|
|
* Fix compiler warnings under GCC 4.2.1.
|
|
|
|
|
* Fix preprocessor `else after series of `elsif. [Mark Nodine]
|
|
|
|
|
* Fix parameterized defines calling define with comma. [Joshua Wise]
|
|
|
|
|
* Fix comma separated list of primitives. [by Bryan Brady]
|
2019-05-07 01:21:25 +02:00
|
|
|
|
2019-03-24 02:14:30 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.662 2008-04-25
|
|
|
|
|
==========================
|
2019-01-28 13:30:42 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-02-05 02:28:17 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add Verilog 2005 $clog2() function.
|
|
|
|
|
This is useful in calculating bus-widths from parameters.
|
2021-04-03 19:11:26 +02:00
|
|
|
* Support C-style comments in -f option files. [Stefan Thiede]
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add error message when modules have duplicate names. [Stefan Thiede]
|
|
|
|
|
* Support defines terminated in EOF, though against spec. [Stefan Thiede]
|
|
|
|
|
* Support optional argument to $finish and $stop. [by Stefan Thiede]
|
|
|
|
|
* Support ranges on gate primitive instantiations. [Stefan Thiede]
|
|
|
|
|
* Ignore old standard(ish) Verilog-XL defines. [by Stefan Thiede]
|
|
|
|
|
* Fix "always @ ((a) or (b))" syntax error. [by Niranjan Prabhu]
|
|
|
|
|
* Fix "output reg name=expr;" syntax error. [Martin Scharrer]
|
|
|
|
|
* Fix multiple .v files being read in random order. [Stefan Thiede]
|
|
|
|
|
* Fix internal error when params get non-constants. [Johan Wouters]
|
|
|
|
|
* Fix bug introduced in 3.661 with parameterized defines.
|
2019-03-08 02:56:53 +01:00
|
|
|
|
2019-03-10 20:12:20 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.661 2008-04-04
|
|
|
|
|
==========================
|
2019-03-10 19:57:01 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2019-02-28 03:06:07 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* The --enable-defenv configure option added in 3.660 is now the default.
|
|
|
|
|
This hard-codes a default for VERILATOR_ROOT etc in the executables.
|
|
|
|
|
* Add --language option for supporting older code. [Stefan Thiede]
|
|
|
|
|
* Add --top-module option to select between multiple tops. [Stefan Thiede]
|
2019-02-13 00:20:40 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-02-16 00:33:52 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Unsized concatenates now give WIDTHCONCAT warnings. [Jonathan Kimmitt]
|
|
|
|
|
Previously they threw fatal errors, which in most cases is correct
|
|
|
|
|
according to spec, but can be incorrect in presence of parameter values.
|
|
|
|
|
* Support functions with "input integer". [Johan Wouters]
|
|
|
|
|
* Ignore delays attached to gate UDPs. [Stefan Thiede]
|
|
|
|
|
* Fix SystemVerilog parameterized defines with \`\` expansion,
|
|
|
|
|
and fix extra whitespace inserted on substitution. [Vladimir Matveyenko]
|
|
|
|
|
* Fix no-module include files on command line. [Stefan Thiede]
|
|
|
|
|
* Fix dropping of backslash quoted-quote at end of $display.
|
|
|
|
|
* Fix task output pin connected to non-variables. [Jonathan Kimmitt]
|
|
|
|
|
* Fix missing test_v in install datadir. [Holger Waechtler]
|
|
|
|
|
* Fix internal error after MSB < LSB error reported to user. [Stefan Thiede]
|
2019-03-05 02:29:01 +01:00
|
|
|
|
2019-03-10 17:14:58 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.660 2008-03-23
|
|
|
|
|
==========================
|
2019-03-10 19:09:22 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2018-10-03 00:42:53 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support hard-coding VERILATOR_ROOT etc in the executables,
|
|
|
|
|
to enable easier use of Verilator RPMs. [Gunter Dannoritzer]
|
|
|
|
|
* Allow multiple .v files on command line. [Stefan Thiede]
|
|
|
|
|
* Convert re-defining macro error to warning. [Stefan Thiede]
|
|
|
|
|
* Add --error-limit option. [Stefan Thiede]
|
|
|
|
|
* Allow __ in cell names by quoting them in C. [Stefan Thiede]
|
|
|
|
|
* Fix genvar to be signed, so "< 0" works properly. [Niranjan Prabhu]
|
|
|
|
|
* Fix assignments to inputs inside functions/tasks. [Patricio Kaplan]
|
|
|
|
|
* Fix definitions in main file.v, referenced in library. [Stefan Thiede]
|
|
|
|
|
* Fix undefined assigns to be implicit warnings. [Stefan Thiede]
|
2018-12-01 21:07:31 +01:00
|
|
|
|
2018-12-07 01:06:20 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.658 2008-02-25
|
|
|
|
|
==========================
|
2018-12-06 13:12:39 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-01-12 15:33:57 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix unistd compile error in 3.657. [Patricio Kaplan, Jonathan Kimmitt]
|
2019-01-23 01:25:00 +01:00
|
|
|
|
2018-12-19 02:49:44 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.657 2008-02-20
|
|
|
|
|
==========================
|
2018-12-19 02:41:14 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-01-03 00:38:49 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix assignments of {a,b,c} = {c,b,a}. [Jonathan Kimmitt]
|
|
|
|
|
* Fix Perl warning with --lint-only. [by Ding Xiaoliang]
|
|
|
|
|
* Fix to avoid creating obj_dir with --lint-only. [Ding Xiaoliang]
|
|
|
|
|
* Fix parsing of always @(*). [Patricio Kaplan]
|
2019-01-04 01:03:27 +01:00
|
|
|
|
2019-01-05 10:58:14 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.656 2008-01-18
|
|
|
|
|
==========================
|
2019-01-06 23:38:27 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2019-01-16 06:38:42 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Wide VL_CONST_W_#X functions are now made automatically. [Bernard Deadman]
|
|
|
|
|
In such cases, a new {prefix}__Inlines.h file will be built and included.
|
|
|
|
|
* Fix sign error when extracting from signed memory. [Peter Debacker]
|
|
|
|
|
* Fix tracing of SystemC w/o SystemPerl. [Bernard Deadman, Johan Wouters]
|
2018-12-01 21:07:31 +01:00
|
|
|
|
2018-10-27 14:48:12 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.655 2007-11-27
|
|
|
|
|
==========================
|
2018-10-31 01:50:09 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2018-10-30 23:17:37 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support "#delay <statement>;" with associated STMTDLY warning.
|
|
|
|
|
* Fix generate for loops with constant zero conditions. [Rodney Sinclair]
|
|
|
|
|
* Fix divide-by-zero errors in constant propagator. [Rodney Sinclair]
|
|
|
|
|
* Fix wrong result with obscure signed-shift underneath a "? :".
|
|
|
|
|
* Fix many internal memory leaks, and added leak detector.
|
2018-12-01 16:12:10 +01:00
|
|
|
|
2018-11-26 23:58:18 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.654 2007-10-18
|
|
|
|
|
==========================
|
2018-11-29 00:25:34 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2018-10-30 12:35:30 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Don't exit early if many warnings but no errors are found. [Stan Mayer]
|
|
|
|
|
* Fix parsing module #(parameter x,y) declarations. [Oleg Rodionov]
|
|
|
|
|
* Fix parsing system functions with empty parens. [Oleg Rodionov]
|
2018-11-02 00:03:52 +01:00
|
|
|
|
2018-11-27 01:09:08 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.653 2007-08-01
|
|
|
|
|
==========================
|
2018-11-30 02:35:21 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2018-10-27 14:48:12 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support SystemVerilog ==? and !=? operators.
|
|
|
|
|
* Fix SC_LIBS missing from generated makefiles. [Ding Xiaoliang]
|
2018-10-06 16:12:31 +02:00
|
|
|
|
2018-10-26 03:17:25 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.652 2007-06-21
|
|
|
|
|
==========================
|
2018-10-26 01:45:06 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2018-10-08 13:21:22 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Report as many warning types as possible before exiting.
|
|
|
|
|
* Support V2K portlists with "input a,b,...". [Mark Nodine]
|
|
|
|
|
* Support V2K function/task argument lists.
|
|
|
|
|
* Optimize constant $display arguments.
|
2021-04-03 19:11:26 +02:00
|
|
|
* Fix preprocessor dropping some `line directives. [Mark Nodine]
|
2018-10-08 13:21:22 +02:00
|
|
|
|
2018-10-10 12:54:51 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.651 2007-05-22
|
|
|
|
|
==========================
|
2018-10-13 00:31:45 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2018-10-06 16:12:31 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add verilator_profcfunc utility. [Gene Weber]
|
2018-09-17 12:21:24 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2018-10-03 00:42:53 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Treat modules within `celldefine and `endcelldefine as if in library.
|
|
|
|
|
* Support functions which return integers. [Mark Nodine]
|
|
|
|
|
* Warn if flex is not installed. [Ralf Karge]
|
|
|
|
|
* Ignore `protect and `endprotect.
|
|
|
|
|
* Fix empty case/endcase blocks.
|
2018-09-23 21:09:47 +02:00
|
|
|
|
2018-09-23 21:20:12 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.650 2007-04-20
|
|
|
|
|
==========================
|
2018-09-17 12:25:01 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2018-09-17 12:21:24 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add --compiler msvc option. This is now required when Verilated code
|
|
|
|
|
is to be run through MSVC++. This also enables fixing MSVC++ error
|
|
|
|
|
C1061, blocks nested too deeply. [Ralf Karge]
|
|
|
|
|
* Add --lint-only option, to lint without creating other output.
|
2018-10-02 00:29:04 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2018-09-28 14:36:37 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add /*verilator lint_save*/ and /*verilator lint_restore*/ to allow
|
|
|
|
|
friendly control over re-enabling lint messages. [Gerald Williams]
|
|
|
|
|
* Support SystemVerilog .name and .* interconnect.
|
|
|
|
|
* Support while and do-while loops.
|
|
|
|
|
* Use $(LINK) instead of $(CXX) for Makefile link rules. [Gerald Williams]
|
|
|
|
|
* Add USER_CPPFLAGS and USER_LDFLAGS to Makefiles. [Gerald Williams]
|
|
|
|
|
* Fix compile errors under Windows MINGW compiler. [Gerald Williams]
|
|
|
|
|
* Fix dotted bit reference to local memory. [Eugene Weber]
|
|
|
|
|
* Fix 3.640 `verilog forcing IEEE 1364-1995 only. [David Hewson]
|
2018-09-28 14:36:37 +02:00
|
|
|
|
2018-02-02 03:21:21 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.640 2007-03-12
|
|
|
|
|
==========================
|
2018-02-02 03:32:58 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2018-05-20 14:40:35 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support Verilog 2005 `begin_keywords and `end_keywords.
|
|
|
|
|
* Updated list of SystemVerilog keywords to correspond to IEEE 1800-2005.
|
|
|
|
|
* Add /*verilator public_flat*/. [Eugene Weber]
|
|
|
|
|
* Try all +libext's in the exact order given. [Michael Shinkarovsky]
|
|
|
|
|
* Fix elimination of public signals assigned to constants. [Eugene Weber]
|
|
|
|
|
* Fix internal error when public for loop has empty body. [David Addison]
|
|
|
|
|
* Fix "Loops detected" assertion when model exceeds 4GB. [David Hewson]
|
|
|
|
|
* Fix display %m names inside named blocks.
|
2018-02-02 03:32:58 +01:00
|
|
|
|
2018-08-28 12:41:17 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.633 2007-02-07
|
|
|
|
|
==========================
|
2018-08-26 13:07:01 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2018-02-28 12:58:41 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add --trace-depth option for minimizing VCD file size. [Emerson Suguimoto]
|
|
|
|
|
* With VL_DEBUG, show wires causing convergence errors. [Mike Shinkarovsky]
|
|
|
|
|
* Fix isolate_assignments when many signals per always. [Mike Shinkarovsky]
|
|
|
|
|
* Fix isolate_assignments across task/func temporaries. [Mike Shinkarovsky]
|
|
|
|
|
* Fix $display's with array select followed by wide AND. [David Hewson]
|
2018-06-23 23:07:22 +02:00
|
|
|
|
2018-08-25 19:49:37 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.632 2007-01-17
|
|
|
|
|
==========================
|
2018-07-23 02:54:28 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2018-02-02 03:24:41 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add /*verilator isolate_assignments*/ attribute. [Mike Shinkarovsky]
|
2018-06-12 11:22:30 +02:00
|
|
|
|
2018-06-23 01:46:27 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.631 2007-01-02
|
|
|
|
|
==========================
|
2018-08-31 02:05:13 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2018-09-13 01:19:40 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support standard NAME[#] for cells created by arraying or generate for.
|
|
|
|
|
This replaces the non-standard name__# syntax used in earlier versions.
|
2018-09-08 07:16:07 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2018-09-13 01:20:15 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix again dotted references into generate cells. [David Hewson]
|
|
|
|
|
Verilator no longer accepts duplicated variables inside unique
|
|
|
|
|
generate blocks as this is illegal according to the specification.
|
|
|
|
|
* Fix $readmem* with filenames < 8 characters. [Emerson Suguimoto]
|
2018-09-14 12:56:59 +02:00
|
|
|
|
2018-06-12 11:22:30 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.630 2006-12-19
|
|
|
|
|
==========================
|
2018-06-13 03:22:01 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2018-06-15 13:13:18 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support $readmemb and $readmemh. [Eugene Weber, Arthur Kahlich]
|
2018-08-22 00:09:40 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2018-06-21 14:19:59 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* When dotted signal lookup fails, help the user by showing known scopes.
|
|
|
|
|
* Fix to reduce depth of priority encoded case statements. [Eugene Weber]
|
|
|
|
|
* Fix configure and compiling under Solaris. [Bob Farrell]
|
|
|
|
|
* Fix dotted references inside generated cells. [David Hewson]
|
|
|
|
|
* Fix missed split optimization points underneath other re-split blocks.
|
2018-06-26 13:11:56 +02:00
|
|
|
|
2018-06-29 00:55:36 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.623 2006-12-05
|
|
|
|
|
==========================
|
2018-07-24 00:57:34 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2018-06-13 03:22:01 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add --output-split-cfuncs for accelerating GCC compile. [Eugene Weber]
|
2018-03-17 17:01:59 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2018-05-20 15:12:29 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add M32 make variable to support -m32 compiles. [Eugene Weber]
|
|
|
|
|
* Fix $signed mis-extending when input has a WIDTH violation. [Eugene Weber]
|
2018-04-05 03:03:43 +02:00
|
|
|
|
2018-05-19 13:52:07 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.622 2006-10-17 Stable
|
|
|
|
|
=================================
|
2018-03-30 02:10:27 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2018-05-10 00:32:12 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix --skip-identical without --debug, broken in 3.621. [Andy Meier]
|
2018-06-08 14:01:22 +02:00
|
|
|
|
2018-02-02 03:21:21 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.621 2006-10-11 Beta
|
|
|
|
|
===============================
|
2018-02-02 02:14:40 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2018-03-13 03:26:34 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add /*verilator no_inline_task*/ to prevent over-expansion. [Eugene Weber]
|
2018-02-26 10:25:07 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2018-03-09 05:40:19 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Public functions now allow > 64 bit arguments.
|
|
|
|
|
* Remove .vpp intermediate files when not under --debug.
|
|
|
|
|
* Fix link error when using --exe with --trace. [Eugene Weber]
|
|
|
|
|
* Fix mis-optimization of wide concats with constants.
|
|
|
|
|
* Fix core dump on printing error when not under --debug. [Allan Cochrane]
|
2018-03-11 15:37:20 +01:00
|
|
|
|
2018-03-12 21:44:01 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.620 2006-10-04 Stable
|
|
|
|
|
=================================
|
2018-03-10 18:18:19 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2018-03-15 13:59:52 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support simple inout task ports. [Eugene Weber]
|
|
|
|
|
* Allow overriding Perl, Flex and Bison versions. [by Robert Farrell]
|
|
|
|
|
* Optimize variables set to constants within basic blocks for ~3%.
|
|
|
|
|
* Default make no longer makes the docs; if you edit the documentation.
|
|
|
|
|
sources, run "make info" to get them.
|
2021-04-12 00:55:06 +02:00
|
|
|
* Optimize additional Boolean identities (a|a = a, etc.)
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix coredump when dotted cross-ref inside task call. [Eugene Weber]
|
|
|
|
|
* Fix dotted variables in always sensitivity lists. [Allan Cochrane]
|
2018-03-10 18:52:11 +01:00
|
|
|
|
2018-02-08 00:58:21 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.610 2006-09-20 Stable
|
|
|
|
|
=================================
|
2018-02-11 01:08:07 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2018-03-08 01:52:29 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Verilator now works under DJGPP (Pentium GCC). [John Stroebel]
|
|
|
|
|
* Add default define for VL_PRINTF. [John Stroebel]
|
|
|
|
|
* Removed coverage request variable; see Coverage limitations in docs.
|
|
|
|
|
* Fix DOS carriage returns in multiline defines. [Ralf Karge]
|
|
|
|
|
* Fix printf format warnings on 64-bit linux.
|
2018-03-09 04:43:29 +01:00
|
|
|
|
2018-02-02 02:14:40 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.602 2006-09-11 Stable
|
|
|
|
|
=================================
|
2018-01-03 00:13:45 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2018-02-02 01:18:00 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix function references under top inlined module. [David Hewson]
|
2018-01-31 13:33:10 +01:00
|
|
|
|
2018-01-30 01:07:49 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.601 2006-09-06 Beta
|
|
|
|
|
===============================
|
2018-01-27 21:06:51 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2018-01-17 01:53:50 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add --inhibit-sim flag for environments using old __Vm_inhibitSim.
|
|
|
|
|
* Add `systemc_dtor for destructor extensions. [Allan Cochrane]
|
|
|
|
|
* Add -MP to make phony dependencies, ala GCC's.
|
2018-01-25 04:30:30 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2018-01-31 13:29:14 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Changed how internal functions are invoked to reduce aliasing.
|
|
|
|
|
Useful when using GCC's -O2 or -fstrict-aliasing, to gain another ~4%.
|
|
|
|
|
* Declare optimized lookup tables as 'static', to reduce D-Cache miss rate.
|
|
|
|
|
* Fix memory leak when destroying modules. [John Stroebel]
|
|
|
|
|
* Fix coredump when unused modules have unused cells. [David Hewson]
|
|
|
|
|
* Fix 3.600 internal error with arrayed instances. [David Hewson]
|
|
|
|
|
* Fix 3.600 internal error with non-unrolled function loops. [David Hewson]
|
|
|
|
|
* Fix $display %m name not matching Verilog name inside SystemC modules.
|
2018-02-02 02:07:46 +01:00
|
|
|
|
2018-01-19 03:25:43 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.600 2006-08-28 Beta
|
|
|
|
|
===============================
|
2018-01-03 00:13:45 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2017-11-28 00:50:14 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support dotted cross-hierarchy variable and task references.
|
2017-12-09 17:52:35 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-12-17 22:28:58 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Lint for x's in generate case statements.
|
|
|
|
|
* Fix line numbers being off by one when first file starts with newline.
|
|
|
|
|
* Fix naming of generate for blocks to prevent non-inline name conflict.
|
|
|
|
|
* Fix redundant statements remaining after table optimization.
|
2017-12-27 03:35:08 +01:00
|
|
|
|
2017-12-03 04:10:58 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.542 2006-08-11 Stable
|
|
|
|
|
=================================
|
2017-12-10 02:17:37 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-12-08 01:57:11 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* vl_finish and vl_fatal now print via VL_PRINTF rather then cerr/cout.
|
|
|
|
|
* Fix extraneous UNSIGNED warning when comparing genvars. [David Hewson]
|
|
|
|
|
* Fix extra white space in $display %c. [by David Addison]
|
|
|
|
|
* Fix missing VL_CONST_W_24X macro. [Bernard Deadman]
|
2017-12-14 01:49:37 +01:00
|
|
|
|
2017-12-17 14:23:22 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.541 2006-07-05 Beta
|
|
|
|
|
===============================
|
2017-11-29 01:11:41 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-12-07 03:29:10 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add warning on changeDetect to arrayed structures. [David Hewson]
|
|
|
|
|
* Fix "// verilator lint_on" not re-enabling warnings. [David Hewson]
|
|
|
|
|
* Fix 3.540's multiple memory assignments to same block. [David Hewson]
|
|
|
|
|
* Fix non-zero start number for arrayed instantiations. [Jae Hossell]
|
|
|
|
|
* Fix GCC 4.0 header file warnings.
|
2017-12-08 02:10:27 +01:00
|
|
|
|
2017-12-14 01:42:49 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.540 2006-06-27 Beta
|
|
|
|
|
===============================
|
2020-05-30 19:47:42 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-11-28 00:50:14 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Optimize combo assignments that are used only once, ~5-25% faster.
|
|
|
|
|
* Optimize delayed assignments to memories inside loops, ~0-5% faster.
|
|
|
|
|
* Fix mis-width warning on bit selects of memories. [David Hewson]
|
|
|
|
|
* Fix mis-width warning on dead generate-if branches. [Jae Hossell]
|
2017-10-15 02:47:25 +02:00
|
|
|
|
2017-11-18 23:42:35 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.533 2006-06-05 Stable
|
|
|
|
|
=================================
|
2017-11-22 03:10:42 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-11-23 16:17:56 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add PDF user manual, verilator.pdf.
|
|
|
|
|
* Fix delayed bit-selected arrayed assignments. [David Hewson]
|
|
|
|
|
* Fix execution path to Perl. [Shanshan Xu]
|
|
|
|
|
* Fix Bison compile errors in verilog.y. [by Ben Jackson]
|
2017-11-23 20:55:32 +01:00
|
|
|
|
2017-10-15 16:36:50 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.531 2006-05-10 Stable
|
|
|
|
|
=================================
|
2017-11-06 03:47:55 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-11-09 03:27:15 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support $c routines which return 64 bit values.
|
|
|
|
|
* Fix `include `DEFINE.
|
|
|
|
|
* Fix Verilator core dump when have empty public function. [David.Hewson]
|
2017-11-16 02:19:12 +01:00
|
|
|
|
2017-11-15 02:10:25 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.530 2006-04-24 Stable
|
|
|
|
|
=================================
|
2017-11-22 03:52:37 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2017-11-23 20:55:32 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* $time is now 64 bits. The macro VL_TIME_I is now VL_TIME_Q, but calls
|
|
|
|
|
the same sc_time_stamp() function to get the current time.
|
2017-10-15 16:36:50 +02:00
|
|
|
|
2017-09-23 16:11:06 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.523 2006-03-06 Stable
|
|
|
|
|
=================================
|
2017-09-24 03:18:07 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-10-02 03:31:40 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix error line numbers being off due to multi-line defines. [Mat Zeno]
|
|
|
|
|
* Fix GCC sign extending (uint64_t)(a<b). [David Hewson]
|
|
|
|
|
* Fix `systemc_imp_header "undefined macro" error.
|
2017-09-24 00:03:39 +02:00
|
|
|
|
2017-10-06 00:18:11 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.522 2006-02-23 Beta
|
|
|
|
|
===============================
|
2017-10-06 13:33:52 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-09-23 16:49:29 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add UNUSED error message, for forward compatibility.
|
2017-10-08 03:29:57 +02:00
|
|
|
|
2017-10-02 00:00:27 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.521 2006-02-14 Beta
|
|
|
|
|
===============================
|
2017-09-30 19:30:01 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2017-10-01 16:21:27 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Create new --coverage-line and --coverage-user options. [Peter Holmes]
|
2017-10-03 00:49:00 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-10-03 00:49:00 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add SystemVerilog 'x,'z,'0,'1, and new string literals.
|
|
|
|
|
* Fix public module's parent still getting inlined.
|
2017-10-05 03:27:34 +02:00
|
|
|
|
2017-10-07 20:01:18 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.520 2006-01-14 Stable
|
|
|
|
|
=================================
|
2017-10-10 03:08:50 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2017-10-12 01:01:37 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support $fopen, $fclose, $fwrite, $fdisplay.
|
|
|
|
|
See documentation, as the file descriptors differ from the standard.
|
2017-10-14 15:00:39 +02:00
|
|
|
|
2017-09-23 16:11:06 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.510 2005-12-17 Stable
|
|
|
|
|
=================================
|
2017-09-08 03:15:48 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2017-09-23 13:32:37 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Improve trace-on performance on large multi-clock designs by 2x or more.
|
|
|
|
|
This adds a small ~2% performance penalty if traces are compiled in,
|
|
|
|
|
but not turned on. For best non-tracing performance, do not use --trace.
|
2017-09-14 01:37:47 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-09-21 03:04:59 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix $'s in specify delays causing bad PLI errors. [Mat Zeno]
|
|
|
|
|
* Fix public functions not setting up proper symbol table. [Mat Zeno]
|
|
|
|
|
* Fix genvars generating trace compile errors. [Mat Zeno]
|
|
|
|
|
* Fix VL_MULS_WWW compile error with MSVC++. [Wim Michiels]
|
2017-09-13 01:34:10 +02:00
|
|
|
|
2017-09-15 03:20:20 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.502 2005-11-30 Stable
|
|
|
|
|
=================================
|
2017-09-18 04:52:57 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-09-19 03:36:18 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix local non-IO variables in public functions and tasks.
|
|
|
|
|
* Fix bad lifetime optimization when same signal is assigned multiple
|
|
|
|
|
times in both branch of a if. [Danny Ding]
|
2017-09-14 01:09:49 +02:00
|
|
|
|
2017-09-13 00:53:57 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.501 2005-11-16 Stable
|
|
|
|
|
=================================
|
2017-09-14 01:27:59 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2017-09-14 01:47:11 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add --prof-cfuncs for correlating profiles back to Verilog.
|
2017-09-15 03:15:56 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-09-15 03:24:13 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix functions where regs are declared before inputs. [Danny Ding]
|
|
|
|
|
* Fix bad deep expressions with bit-selects and rotate. [Prabhat Gupta]
|
2017-09-20 02:04:45 +02:00
|
|
|
|
2017-09-20 02:56:17 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.500 2005-10-30 Stable
|
|
|
|
|
=================================
|
2017-09-08 03:15:48 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2017-08-29 04:51:53 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support signed numbers, >>>, $signed, $unsigned. [MANY!]
|
|
|
|
|
* Support multi-dimensional arrays. [Eugen Fekete]
|
|
|
|
|
* Support very limited Property Specification Language
|
|
|
|
|
(aka PSL or Sugar). The format and keywords are now very limited, but will
|
|
|
|
|
grow with future releases. The --assert switch enables this feature.
|
|
|
|
|
* With --assert, generate assertions for synthesis parallel_case and full_case.
|
2017-09-08 03:08:49 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-09-03 03:01:50 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix generate if's with empty if/else blocks. [Mat Zeno]
|
|
|
|
|
* Fix generate for cell instantiations with same name. [Mat Zeno]
|
2017-09-03 03:01:50 +02:00
|
|
|
|
2017-06-23 00:36:29 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.481 2005-10-12 Stable
|
|
|
|
|
=================================
|
2017-07-06 00:51:06 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-08-12 01:07:47 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add /*verilator tracing_on/off*/ for waveform control.
|
|
|
|
|
* Fix split optimization reordering $display statements.
|
2017-07-07 01:07:23 +02:00
|
|
|
|
2017-07-07 13:50:45 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.480 2005-09-27 Beta
|
|
|
|
|
===============================
|
2017-07-13 02:08:32 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2017-08-14 00:08:24 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Allow coverage of flattened modules, and multiple points per line.
|
|
|
|
|
Coverage analysis requires SystemPerl 1.230 or newer.
|
2016-03-02 01:14:04 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-05-31 04:04:58 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add preprocessor changes to support meta-comments.
|
|
|
|
|
* Optimize sequential assignments of different bits of same bus; ~5% faster.
|
|
|
|
|
* Optimize away duplicate lookup tables.
|
|
|
|
|
* Optimize wide concatenates into individual words. [Ralf Karge]
|
|
|
|
|
* Optimize local variables from delayed array assignments.
|
2017-06-21 01:33:58 +02:00
|
|
|
|
2017-06-07 02:06:23 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.470 2005-09-06 Stable
|
|
|
|
|
=================================
|
2017-06-05 13:56:59 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-06-06 02:30:01 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Optimize staging flops under reset blocks.
|
|
|
|
|
* Add '-Werror-...' to upgrade specific warnings to errors.
|
|
|
|
|
* Add GCC branch prediction hints on generated if statements.
|
|
|
|
|
* Fix bad simulation when same function called twice in same expression.
|
|
|
|
|
* Fix preprocessor substitution of quoted parameterized defines.
|
2017-06-21 00:40:18 +02:00
|
|
|
|
2017-05-31 04:04:58 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.464 2005-08-24 Stable
|
|
|
|
|
=================================
|
2017-04-02 14:49:31 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2017-05-09 14:05:21 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add `systemc_imp_header, for use when using --output-split.
|
|
|
|
|
* Add --stats option to dump design statistics.
|
2017-05-03 01:16:54 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-04-28 12:10:14 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix core dump with clock inversion optimizations.
|
2017-05-19 04:41:43 +02:00
|
|
|
|
2017-04-29 02:03:38 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.463 2005-08-05 Stable
|
|
|
|
|
=================================
|
2017-05-10 00:54:15 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-04-02 14:49:31 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix case defaults when not last statement in case list. [Wim Michiels]
|
2017-05-19 04:49:17 +02:00
|
|
|
|
2017-05-11 01:05:42 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.462 2005-08-03 Stable
|
|
|
|
|
=================================
|
2017-05-18 02:15:40 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-04-02 14:49:31 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix reordering of delayed assignments to same memory index. [Wim Michiels]
|
|
|
|
|
* Fix compile error with Flex 2.5.1. [Jens Arm]
|
|
|
|
|
* Fix multiply-instantiated public tasks generating non-compilable code.
|
2017-01-27 04:41:05 +01:00
|
|
|
|
2017-02-09 13:43:43 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.461 2005-07-28 Beta
|
|
|
|
|
===============================
|
2017-02-10 00:33:18 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-03-23 23:15:03 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix compile error with older versions of bison. [Jeff Dutton]
|
2017-03-22 00:27:42 +01:00
|
|
|
|
2017-01-27 04:41:32 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.460 2005-07-27 Beta
|
|
|
|
|
===============================
|
2017-03-17 23:33:49 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2017-02-14 00:11:40 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add -output-split option to enable faster parallel GCC compiles.
|
|
|
|
|
To support --output-split, the makefiles now split VM_CLASSES
|
|
|
|
|
into VM_CLASSES_FAST and VM_CLASSES_SLOW. This may require a
|
|
|
|
|
change to local makefiles.
|
|
|
|
|
* Support -v argument to read library files.
|
2017-03-06 13:20:30 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-03-16 01:03:53 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* When issuing unoptimizable warning, show an example path.
|
|
|
|
|
* Internal tree dumps now indicate edit number that changed the node.
|
|
|
|
|
* Fix false warning when a clock is constant.
|
|
|
|
|
* Fix X/Z in decimal numbers. [Wim Michiels]
|
|
|
|
|
* Fix genvar statements in non-named generate blocks.
|
|
|
|
|
* Fix core dump when missing newline in `define. [David van der bokke]
|
2017-03-17 23:40:16 +01:00
|
|
|
|
2017-03-16 01:08:19 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.450 2005-07-12
|
|
|
|
|
==========================
|
2017-03-22 00:19:28 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2017-03-29 01:55:20 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* $finish will no longer exit, but set Verilated::gotFinish().
|
|
|
|
|
This enables support for final statements, and for other cleanup code.
|
|
|
|
|
If this is undesired, redefine the vl_user_finish routine. Top level
|
|
|
|
|
loops should use Verilated::gotFinish() as a exit condition for their
|
|
|
|
|
loop, and then call top->final(). To prevent a infinite loop, a double
|
|
|
|
|
$finish will still exit; this may be removed in future releases.
|
|
|
|
|
* Support SystemVerilog keywords $bits, $countones, $isunknown,
|
|
|
|
|
$onehot, $onehot0, always_comb, always_ff, always_latch, finish.
|
2017-03-31 00:32:37 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-03-31 01:05:55 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix "=== 1'bx" to always be false, instead of random.
|
2017-01-27 04:41:05 +01:00
|
|
|
|
2016-11-25 16:55:28 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.440 2005-06-28 Stable
|
|
|
|
|
=================================
|
2017-01-15 18:09:59 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2017-01-10 01:19:21 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add Verilog 2001 generate for/if/case statements.
|
2016-12-21 23:43:19 +01:00
|
|
|
|
2016-12-22 00:23:14 +01:00
|
|
|
|
2016-11-30 02:40:58 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.431 2005-06-24 Stable
|
|
|
|
|
=================================
|
2016-12-03 20:49:51 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2017-01-07 00:44:37 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix selection bugs introduced in 3.430 beta.
|
2016-11-25 16:55:28 +01:00
|
|
|
|
2016-10-15 01:51:30 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.430 2005-06-22 Beta
|
|
|
|
|
===============================
|
2016-10-23 20:27:57 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2016-11-19 03:13:56 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add Verilog 2001 variable part selects [n+:m] and [n-:m]. [Wim Michiels]
|
2016-11-06 14:14:05 +01:00
|
|
|
|
2016-10-22 14:05:27 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.422 2005-06-10 Stable
|
|
|
|
|
=================================
|
2016-11-05 13:37:18 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2016-11-05 03:58:21 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add Verilog 2001 power (**) operator. [Danny Ding]
|
|
|
|
|
* Fix crash and added error message when assigning to inputs. [Ralf Karge]
|
|
|
|
|
* Fix tracing of modules with public functions.
|
2016-10-15 01:51:30 +02:00
|
|
|
|
2016-07-30 16:18:52 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.421 2005-06-02 Beta
|
|
|
|
|
===============================
|
2016-09-20 04:00:13 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2016-09-14 04:28:07 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix error about reserved word on non-public signals.
|
|
|
|
|
* Fix missing initialization compile errors in 3.420 beta. [Ralf Karge]
|
2016-09-20 01:31:09 +02:00
|
|
|
|
2016-09-10 14:14:17 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.420 2005-06-02 Beta
|
|
|
|
|
===============================
|
2016-08-24 00:05:29 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2016-09-09 03:30:35 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Performance improvements worth ~20%
|
|
|
|
|
* Add -x-assign options; ~5% faster if use -x-assign=0.
|
|
|
|
|
* Add error message when multiple defaults in case statement.
|
|
|
|
|
* Optimize shifts out of conditionals and if statements.
|
|
|
|
|
* Optimize local 'short' wires.
|
|
|
|
|
* Fix case defaults when not last statement in case list. [Ralf Karge]
|
|
|
|
|
* Fix crash when wire self-assigns x=x.
|
|
|
|
|
* Fix gate optimization with top-flattened modules. [Mahesh Kumashikar]
|
2016-09-09 04:04:14 +02:00
|
|
|
|
2016-09-19 23:54:42 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.411 2005-05-30 Stable
|
|
|
|
|
=================================
|
2016-07-30 16:18:52 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2016-05-19 03:15:33 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix compile error in GCC 2.96. [Jeff Dutton]
|
2016-06-16 04:46:34 +02:00
|
|
|
|
2016-06-16 02:13:52 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.410 2005-05-25 Beta
|
|
|
|
|
===============================
|
2016-07-23 22:58:30 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2016-07-09 10:09:34 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Allow functions and tasks to be declared public.
|
|
|
|
|
They will become public C++ functions, with appropriate C++ types.
|
|
|
|
|
This allows users to make public accessor functions/tasks, instead
|
|
|
|
|
of having to use public variables and `systemc_header hacks.
|
2016-06-16 02:13:52 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2016-03-02 01:14:04 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Skip producing output files if all inputs are identical
|
|
|
|
|
This uses timestamps, similar to make. Disable with --no-skip-identical.
|
|
|
|
|
* Improved compile performance with large case statements.
|
|
|
|
|
* Fix internal error in V3Table. [Jeff Dutton]
|
|
|
|
|
* Fix compile error in GCC 2.96, and with SystemC 1.2. [Jeff Dutton]
|
2016-03-15 02:51:31 +01:00
|
|
|
|
2016-03-25 00:14:15 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.400 2005-04-29 Beta
|
|
|
|
|
===============================
|
2016-05-07 20:10:33 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2016-05-07 20:01:02 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Internal changes to support future clocking features.
|
|
|
|
|
* Verilog-Perl and SystemPerl are no longer required for C++ or SystemC
|
|
|
|
|
output. If you want tracing or coverage analysis, they are still needed.
|
|
|
|
|
* Add --sc to create pure SystemC output not requiring SystemPerl.
|
|
|
|
|
* Add --pins64 to create 64 bit SystemC outputs instead of sc_bv<64>.
|
|
|
|
|
* The --exe flag is now required to produce executables inside the makefile.
|
|
|
|
|
This was previously the case any time .cpp files were passed on the
|
|
|
|
|
command line.
|
|
|
|
|
* Add -O3 and --inline-mult for performance tuning. [Ralf Karge]
|
|
|
|
|
One experiment regained 5% performance, at a cost of 300% in compile time.
|
2016-05-12 13:19:02 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2016-05-12 03:44:55 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Improved performance of large case/always statements with low fanin
|
|
|
|
|
by converting to internal lookup tables (ROMs).
|
|
|
|
|
* Initialize SystemC port names. [S Shuba]
|
|
|
|
|
* Add Doxygen comments to Verilated includes.
|
|
|
|
|
* Fix -cc pins 8 bits wide and less to be uint8_t instead of uint16_t.
|
|
|
|
|
* Fix crash when Mdir has same name as .v file. [Gernot Koch]
|
|
|
|
|
* Fix crash with size mismatches on case items. [Gernot Koch]
|
2016-05-14 23:56:04 +02:00
|
|
|
|
2016-05-17 03:57:49 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.340 2005-02-18 Stable
|
|
|
|
|
=================================
|
2016-03-02 01:14:04 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2016-01-07 02:47:44 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Report misconnected pins across all modules, instead of just first error.
|
|
|
|
|
* Improved large netlist compile times.
|
|
|
|
|
* Fix over-active inlining, resulting in compile slowness.
|
2016-01-07 02:47:44 +01:00
|
|
|
|
2016-01-22 01:11:53 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.332 2005-01-27
|
|
|
|
|
==========================
|
2016-03-02 00:57:15 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2016-01-22 01:00:19 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add -E preprocess only flag, similar to GCC.
|
|
|
|
|
* Add CMPCONSTLR when comparison is constant due to > or < with all ones.
|
2016-01-07 02:53:48 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2016-01-21 04:09:11 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix loss of first -f file argument, introduced in 3.331.
|
2016-02-05 00:34:08 +01:00
|
|
|
|
2016-02-05 00:38:59 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.331 2005-01-18
|
|
|
|
|
==========================
|
2016-02-05 02:47:55 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2016-02-10 04:16:12 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* The Verilog::Perl preprocessor is now C++ code inside of Verilator.
|
|
|
|
|
This improves performance, makes compilation easier, and enables
|
|
|
|
|
some future features.
|
2016-02-22 05:00:21 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2016-01-07 02:47:44 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support arrays of instantiations (non-primitives only). [Wim Michiels]
|
|
|
|
|
* Fix unlinked error with defparam. [Shawn Wang]
|
2015-11-01 14:20:17 +01:00
|
|
|
|
2015-11-12 02:49:45 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.320 2004-12-10
|
|
|
|
|
==========================
|
2015-11-05 04:01:21 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2015-11-07 01:12:17 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* NEWS is now renamed Changes, to support CPAN indexing.
|
|
|
|
|
* If Verilator is passed a C file, create a makefile link rule.
|
|
|
|
|
This saves several user steps when compiling small projects.
|
2015-11-12 02:40:24 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2015-12-06 01:45:36 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add new COMBDLY warning in place of fatal error. [Shawn Wang]
|
|
|
|
|
* Fix mis-simulation with wide-arrays under bit selects. [Ralf Karge]
|
|
|
|
|
* Add NC Verilog as alternative to VCS for reference tests.
|
|
|
|
|
* Support implicit wire declarations on input-only signals.
|
|
|
|
|
(Dangerous, as leads to wires without drivers, but allowed by spec.)
|
|
|
|
|
* Fix compile warnings on Suse 9.1
|
2015-11-11 03:12:15 +01:00
|
|
|
|
2015-11-14 15:06:09 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.311 2004-11-29
|
|
|
|
|
==========================
|
2015-11-13 04:29:42 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2015-11-23 03:16:13 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support implicit wire declarations (as a warning). [Shawn Wang]
|
2015-11-23 02:46:26 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2015-11-25 03:28:04 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix over-shift difference in Verilog vs C++. [Ralf Karge]
|
2015-11-25 03:28:04 +01:00
|
|
|
|
2015-12-09 03:25:43 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.310 2004-11-15
|
|
|
|
|
==========================
|
2015-12-09 04:22:42 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2015-12-15 01:58:22 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support defparam.
|
|
|
|
|
* Support gate primitives: buf, not, and, nand, or, nor, xor, xnor.
|
2015-12-16 04:37:49 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2015-12-16 04:37:49 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Ignore all specify blocks.
|
2015-12-19 00:01:55 +01:00
|
|
|
|
2015-11-01 14:20:17 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.302 2004-11-12
|
|
|
|
|
==========================
|
2015-08-13 03:42:08 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2015-09-26 04:57:28 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support NAND and NOR operators.
|
|
|
|
|
* Better warnings when port widths don't match.
|
|
|
|
|
* Fix internal error due to some port width mismatches. [Ralf Karge]
|
|
|
|
|
* Fix WIDTH warnings on modules that are only used
|
|
|
|
|
parameterized, not in 'default' state.
|
|
|
|
|
* Fix selection of SystemC library on cygwin systems. [Shawn Wang]
|
|
|
|
|
* Fix runtime bit-selection of parameter constants.
|
2015-10-23 02:13:49 +02:00
|
|
|
|
2015-10-03 13:12:56 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.301 2004-11-04
|
|
|
|
|
==========================
|
2015-10-24 00:13:25 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2015-10-28 01:58:31 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix 64 bit [31:0] = {#{}} mis-simulation. [Ralf Karge]
|
|
|
|
|
* Fix shifts greater then word width mis-simulation. [Ralf Karge]
|
|
|
|
|
* Fix to work around GCC 2.96 negation bug.
|
2015-09-25 03:08:58 +02:00
|
|
|
|
2015-10-28 01:37:52 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.300 2004-10-21
|
|
|
|
|
==========================
|
2015-09-20 02:12:35 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2015-09-19 02:57:27 +02:00
|
|
|
|
2021-04-03 19:11:26 +02:00
|
|
|
* New backend that eliminates most VL macros.
|
2021-03-14 19:11:57 +01:00
|
|
|
Improves performance 20%-50%, depending on frequency of use of signals
|
|
|
|
|
over 64 bits. GCC compile times with -O2 shrink by a factor of 10.
|
2015-09-30 03:02:33 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2015-10-02 03:15:01 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix "setting unsigned int from signed value" warning.
|
2015-09-19 01:06:15 +02:00
|
|
|
|
2015-10-24 03:03:35 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.271 2004-10-21
|
|
|
|
|
==========================
|
2015-10-24 03:53:16 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2015-10-24 04:57:15 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix "loops detected" error with some negedge clocks.
|
|
|
|
|
* Fix some output code spacing issues.
|
2015-10-24 05:06:24 +02:00
|
|
|
|
2015-10-30 02:07:49 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.270 2004-10-15
|
|
|
|
|
==========================
|
2015-10-30 02:44:02 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2015-08-13 03:42:08 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support Verilog 2001 parameters in module headers. [Ralf Karge]
|
|
|
|
|
* Faster code to support compilers not inlining all Verilated functions.
|
|
|
|
|
* Fix numeric fault when dividing by zero.
|
2015-06-06 20:02:06 +02:00
|
|
|
|
2015-07-23 02:14:58 +02:00
|
|
|
|
2015-08-13 03:33:40 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.260 2004-10-07
|
|
|
|
|
==========================
|
2015-06-08 13:24:04 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2015-06-09 13:28:51 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support Verilog 2001 named parameter instantiation. [Ralf Karge]
|
2015-06-12 13:28:44 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2015-06-17 01:27:18 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Return 1's when one bit wide extract indexes outside array bounds.
|
|
|
|
|
* Fix compile warnings on 64-bit operating systems.
|
|
|
|
|
* Fix incorrect dependency in .d file when setting VERILATOR_BIN.
|
2015-07-21 19:22:08 +02:00
|
|
|
|
2015-07-22 00:36:27 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.251 2004-09-09
|
|
|
|
|
==========================
|
2015-07-23 01:52:11 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2015-08-13 01:06:15 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix parenthesis overflow in Microsoft Visual C++ [Renga Sundararajan]
|
2015-08-13 01:18:58 +02:00
|
|
|
|
2015-08-13 01:29:06 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.250 2004-08-30
|
|
|
|
|
==========================
|
2015-08-13 01:37:25 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2015-06-09 13:28:51 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support Microsoft Visual C++ [Renga Sundararajan]
|
2015-04-10 01:00:02 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2015-05-14 03:21:29 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* SystemPerl 1.161+ is required.
|
2015-04-10 01:00:02 +02:00
|
|
|
|
2015-05-15 03:46:07 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.241 2004-08-17
|
|
|
|
|
==========================
|
2015-04-29 03:31:25 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2015-04-27 12:47:29 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support ,'s to separate multiple assignments. [Paul Nitza]
|
|
|
|
|
* Fix shift sign extension problem using non-GCC compilers.
|
2015-05-08 02:41:53 +02:00
|
|
|
|
2015-05-08 03:41:54 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.240 2004-08-13
|
|
|
|
|
==========================
|
2015-05-09 20:01:54 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2015-05-14 02:59:13 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Verilator now uses 64 bit math where appropriate.
|
|
|
|
|
Inputs and outputs of 33-64 bits wide to the C++ Verilated model must
|
|
|
|
|
now be uint64_t's; SystemC has not changed, they will remain sc_bv's.
|
|
|
|
|
This increases performance by ~ 9% on x86 machines, varying with how
|
|
|
|
|
frequently 33-64 bit signals occur. Signals 9-16 bits wide are now
|
|
|
|
|
stored as 16 bit shorts instead of longs, this aids cache packing.
|
2015-06-06 19:43:14 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2015-06-05 01:37:03 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix SystemC compile error with feedthrus. [Paul Nitza]
|
|
|
|
|
* Fix concat value error introduced in 3.230.
|
2015-04-10 01:00:02 +02:00
|
|
|
|
2015-02-13 02:39:20 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.230 2004-08-10
|
|
|
|
|
==========================
|
2015-03-05 14:54:57 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2015-03-13 00:20:46 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add coverage output to test_sp example, SystemPerl 1.160+ is required.
|
|
|
|
|
* Fix time 0 value of signals. [Hans Van Antwerpen]
|
|
|
|
|
Earlier versions would not evaluate some combinatorial signals
|
|
|
|
|
until posedge/negedge blocks had been activated.
|
|
|
|
|
* Fix wide constant inputs to public submodules [Hans Van Antwerpen]
|
|
|
|
|
* Fix wide signal width extension bug.
|
|
|
|
|
Only applies when width mismatch warnings were overridden.
|
2015-03-13 12:06:06 +01:00
|
|
|
|
2015-03-13 00:47:54 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.220 2004-06-22
|
|
|
|
|
==========================
|
2015-02-22 17:41:10 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2015-02-26 03:09:55 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Many waveform tracing changes:
|
|
|
|
|
* Tracing is now supported on C++ standalone simulations. [John Brownlee]
|
2015-02-27 02:40:45 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2015-02-13 02:39:20 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* When tracing, SystemPerl 1.150 or newer is required.
|
|
|
|
|
* When tracing, Verilator must be called with the --trace switch.
|
|
|
|
|
* Add SystemPerl example to documentation. [John Brownlee]
|
|
|
|
|
* Various Cygwin compilation fixes. [John Brownlee]
|
2014-12-24 03:42:33 +01:00
|
|
|
|
2014-12-24 04:11:31 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.210 2004-04-01
|
|
|
|
|
==========================
|
2014-12-24 03:42:33 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2015-01-25 22:32:46 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Compiler optimization switches have changed
|
|
|
|
|
See the BENCHMARKING section of the documentation.
|
|
|
|
|
* With Verilog-Perl 2.3 or newer, Verilator supports SystemVerilog
|
|
|
|
|
preprocessor extensions.
|
2014-12-25 01:27:46 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2014-12-25 03:50:38 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add localparam. [Thomas Hawkins]
|
|
|
|
|
* Add warnings for SystemVerilog reserved words.
|
2015-01-17 19:31:27 +01:00
|
|
|
|
2015-01-17 21:35:45 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.203 2004-03-10
|
|
|
|
|
==========================
|
2015-01-22 02:43:21 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2015-02-11 02:24:21 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Notes and repairs for Solaris. [Fred Ma]
|
2015-02-12 01:36:34 +01:00
|
|
|
|
2015-02-12 13:47:45 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.202 2004-01-27
|
|
|
|
|
==========================
|
2015-02-11 04:38:05 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2014-12-24 03:42:33 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* The beta version is now the primary release. See below for many changes.
|
|
|
|
|
If you have many problems, you may wish to try release 3.125.
|
|
|
|
|
* Verilated::traceEverOn(true) must be called at time 0 if you will ever
|
|
|
|
|
turn on tracing (waveform dumping) of signals. Future versions will
|
|
|
|
|
need this switch to disable trace incompatible optimizations.
|
2014-11-15 14:43:27 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2014-11-24 03:06:10 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Optimize common replication operations.
|
|
|
|
|
* Fix several tracing bugs
|
2014-11-22 16:14:14 +01:00
|
|
|
|
2014-11-27 14:30:54 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.201-beta 2003-12-10
|
2021-04-03 19:11:26 +02:00
|
|
|
===============================
|
2014-11-29 02:34:23 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2014-11-28 21:01:50 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* BETA VERSION, USE 3.124 for stable release!
|
|
|
|
|
* Version 3.2XX includes a all new back-end.
|
|
|
|
|
This includes automatic inlining, flattening of signals between
|
|
|
|
|
hierarchy, and complete ordering of statements. This results in
|
|
|
|
|
60-300% execution speedups, though less pretty C++ output. Even
|
|
|
|
|
better results are possible using GCC 3.2.2 (part of Redhat 9.1), as
|
|
|
|
|
GCC has fixed some optimization problems which Verilator exposes.
|
2014-11-27 16:52:38 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
If you are using `systemc_ctor, beware pointers to submodules are now
|
|
|
|
|
initialized after the constructor is called for a module, to avoid
|
|
|
|
|
segfaults, move statements that reference subcells into initial
|
|
|
|
|
statements.
|
|
|
|
|
* C++ Constructor that creates a verilog module may take a char* name.
|
|
|
|
|
This name will be used to prefix any $display %m arguments, so users may
|
|
|
|
|
distinguish between multiple Verilated modules in a single executable.
|
2014-12-11 04:33:28 +01:00
|
|
|
|
2014-12-20 14:28:31 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.125 2004-01-27
|
|
|
|
|
==========================
|
2014-11-29 03:32:57 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2014-12-20 00:14:32 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Optimize bit replications
|
2014-11-22 16:14:14 +01:00
|
|
|
|
2014-09-21 15:06:40 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.124 2003-12-05
|
|
|
|
|
==========================
|
2014-11-14 01:05:07 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2014-11-06 03:09:35 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* A optimized executable will be made by default, in addition to a debug
|
|
|
|
|
executable. Invoking Verilator with --debug will pick the debug version.
|
2014-10-23 03:44:41 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2014-11-07 13:50:11 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Many minor invisible changes to support the next version.
|
2014-11-08 20:15:10 +01:00
|
|
|
|
2014-11-06 23:53:01 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.123 2003-11-10
|
|
|
|
|
==========================
|
2014-11-10 00:29:52 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2014-10-16 03:29:37 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Wide bus performance enhancements.
|
|
|
|
|
* Fix function call bug when width warning suppressed. [Leon Wildman]
|
|
|
|
|
* Fix __DOT__ compile problem with funcs in last revision. [Leon Wildman]
|
2014-10-18 01:01:04 +02:00
|
|
|
|
2014-11-04 13:49:03 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.122 2003-10-29
|
|
|
|
|
==========================
|
2014-11-06 04:22:27 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2014-11-12 22:37:51 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Modules which are accessed from external code now must be marked with
|
|
|
|
|
/*verilator public_module*/ unless they already contain public signals.
|
|
|
|
|
To enforce this, private cell names now have a string prepended.
|
2014-09-21 15:06:40 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2014-06-11 02:43:10 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix replicated function calls in one statement. [Robert A. Clark]
|
|
|
|
|
* Fix function call bug when width warning suppressed. [Leon Wildman]
|
2014-09-21 14:20:38 +02:00
|
|
|
|
2014-08-29 04:10:39 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.121 2003-09-29
|
|
|
|
|
==========================
|
2014-06-15 17:18:47 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2014-07-04 15:13:59 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support multiplication over 32 bits. [Chris Boumenot]
|
|
|
|
|
Also improved speed of addition and subtraction over 32 bits.
|
|
|
|
|
* Detect bit selection out of range errors.
|
|
|
|
|
* Detect integer width errors.
|
|
|
|
|
* Fix width problems on function arguments. [Robert A. Clark]
|
2014-07-22 02:44:33 +02:00
|
|
|
|
2014-07-22 02:55:52 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.120 2003-09-24
|
|
|
|
|
==========================
|
2014-07-28 13:31:01 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2014-08-27 13:57:20 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* $finish now exits the model (via vl_finish function).
|
|
|
|
|
* Support inputs/outputs in tasks.
|
|
|
|
|
* Support V2K "integer int = {INITIAL_VALUE};"
|
|
|
|
|
* Ignore floating point delay values. [Robert A. Clark]
|
|
|
|
|
* Ignore `celldefine, `endcelldefine, etc. [Robert A. Clark]
|
|
|
|
|
* Optimize reduction operators.
|
|
|
|
|
* Fix converting "\ooo" into octal values.
|
|
|
|
|
* Fix $display("%x");
|
2014-09-05 04:25:19 +02:00
|
|
|
|
2014-09-12 03:28:53 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.112 2003-09-16
|
|
|
|
|
==========================
|
2014-09-21 14:52:09 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2014-06-11 02:43:10 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix functions in continuous assignments. [Robert A. Clark]
|
|
|
|
|
* Fix inlining of modules with 2-level deep outputs.
|
2014-05-11 22:58:01 +02:00
|
|
|
|
2014-05-16 02:54:45 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.111 2003-09-15
|
|
|
|
|
==========================
|
2014-06-07 02:22:20 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2014-05-16 02:50:42 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix declaration of functions before using that module. [Robert A. Clark]
|
|
|
|
|
* Fix module inlining bug with outputs.
|
2014-05-28 13:24:02 +02:00
|
|
|
|
2014-05-28 13:33:40 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.110 2003-09-12
|
|
|
|
|
==========================
|
2014-06-10 04:00:45 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2014-06-07 03:52:16 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support Verilog 2001 style input/output declarations. [Robert A. Clark]
|
|
|
|
|
* Support local vars in headers of function/tasks. [Leon Wildman]
|
2014-06-07 03:14:44 +02:00
|
|
|
|
2014-05-13 14:10:59 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.109 2003-08-28
|
|
|
|
|
==========================
|
2014-05-24 14:00:01 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2014-06-10 04:27:04 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support local variables in named begin blocks. [Leon Wildman]
|
2014-05-16 02:57:09 +02:00
|
|
|
|
2014-06-07 15:53:40 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.108 2003-08-11
|
|
|
|
|
==========================
|
2014-05-16 02:52:22 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2014-06-09 03:36:18 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support functions.
|
2014-05-11 22:58:01 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2014-03-14 01:08:43 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Signals 8 bits and shorter are now stored as chars
|
|
|
|
|
instead of uint32_t's. This improves Dcache packing and
|
|
|
|
|
improves performance by ~7%.
|
|
|
|
|
* $display now usually results in a single VL_PRINT rather then many.
|
|
|
|
|
* Optimize conditionals (?:)
|
2014-03-15 02:14:24 +01:00
|
|
|
|
2014-03-31 02:41:20 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.107 2003-07-15
|
|
|
|
|
==========================
|
2014-04-10 02:29:35 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2014-04-30 04:01:50 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* --private and --l2name are now the default,
|
|
|
|
|
as this enables additional optimizations.
|
|
|
|
|
Use --noprivate or --nol2name to get the older behavior.
|
2014-03-14 01:08:43 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2014-03-17 02:38:29 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Now support $display of binary and wide format data.
|
|
|
|
|
* Add detection of incomplete case statements,
|
|
|
|
|
and added related optimizations worth ~4%.
|
|
|
|
|
* Work around flex bug in Redhat 8.0. [Eugene Weber]
|
|
|
|
|
* Add some additional C++ reserved words.
|
|
|
|
|
* Additional constant optimizations, ~5% speed improvement.
|
2014-03-28 02:36:52 +01:00
|
|
|
|
2014-04-02 05:16:16 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.106 2003-06-17
|
|
|
|
|
==========================
|
2014-05-08 13:15:44 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2014-03-14 12:17:03 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* $c can now take multiple expressions as arguments.
|
|
|
|
|
For example $c("foo","bar(",32+1,");") will insert "foobar(33);"
|
|
|
|
|
This makes it easier to pass the values of signals.
|
|
|
|
|
* Several changes to support future versions that may have
|
|
|
|
|
signal-eliminating optimizations. Users should try to use these switch
|
|
|
|
|
on designs, they will become the default in later versions.
|
|
|
|
|
* Add --private switch and /*verilator public*/ metacomment.
|
|
|
|
|
This renames all signals so that compile errors will result if any
|
|
|
|
|
signals referenced by C++ code are missing a /*verilator public*/
|
|
|
|
|
metacomment.
|
|
|
|
|
* With --l2name, the second level cell C++ cell is now named "v".
|
|
|
|
|
Previously it was named based on the name of the verilog code. This
|
|
|
|
|
means to get to signals, scope to "{topcell} ->v ->{mysignal}" instead
|
|
|
|
|
of "{topcell} ->{verilogmod}. {mysignal}". This allows different
|
|
|
|
|
modules to be substituted for the cell without requiring source
|
|
|
|
|
changes.
|
2014-04-22 01:39:28 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2014-03-15 01:36:47 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Several cleanups for Redhat 8.0.
|
2014-04-16 02:20:45 +02:00
|
|
|
|
2014-03-29 16:04:13 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.105 2003-05-08
|
|
|
|
|
==========================
|
2014-04-04 03:53:39 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2014-04-05 21:44:49 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix more GCC 3.2 errors. [David Black]
|
2014-04-16 01:35:44 +02:00
|
|
|
|
2014-05-02 14:14:23 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.104 2003-04-30
|
|
|
|
|
==========================
|
2014-05-10 18:40:35 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2014-05-10 22:38:20 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Indicate direction of ports with VL_IN and VL_OUT.
|
|
|
|
|
* Allow $c32, etc, to specify width of the $c statement for VCS.
|
|
|
|
|
* Numerous performance improvements, worth about 25%
|
2014-03-25 01:19:43 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2014-03-15 19:50:03 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix false "indent underflow" error inside `systemc_ctor sections.
|
|
|
|
|
* Fix missing ordering optimizations when outputs also used internally.
|
|
|
|
|
* Assign constant cell pins in initial blocks rather then every cycle.
|
|
|
|
|
* Promote subcell's combo logic to sequential evaluation when possible.
|
|
|
|
|
* Fix GCC 3.2 compile errors. [Narayan Bhagavatula]
|
2014-03-14 01:08:43 +01:00
|
|
|
|
2014-01-21 03:55:27 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.103 2003-01-28
|
|
|
|
|
==========================
|
2014-01-21 03:55:27 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2014-03-08 21:36:04 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix missing model evaluation when clock generated several levels of
|
|
|
|
|
hierarchy across from where it is used as a clock. [Richard Myers]
|
|
|
|
|
* Fix sign-extension bug introduced in 3.102.
|
2014-03-12 00:07:58 +01:00
|
|
|
|
2014-02-09 15:19:05 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.102 2003-01-24
|
|
|
|
|
==========================
|
2014-02-09 22:32:49 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2014-02-14 00:13:30 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix sign-extension of X/Z's ("32'hx")
|
2014-03-10 02:28:28 +01:00
|
|
|
|
2014-03-08 18:26:34 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.101 2003-01-13
|
|
|
|
|
==========================
|
2014-03-08 20:55:05 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2014-03-08 19:33:44 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix 'parameter FOO=#'bXXXX' [Richard Myers]
|
|
|
|
|
* Allow spaces inside numbers ("32'h 1234") [Sam Gladstone]
|
2014-01-21 03:55:27 +01:00
|
|
|
|
2013-11-29 14:28:48 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.100 2002-12-23
|
|
|
|
|
==========================
|
2013-12-21 12:51:15 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2013-12-15 01:13:31 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support for simple tasks w/o vars or I/O. [Richard Myers]
|
2013-12-14 22:51:08 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2013-11-29 14:28:48 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Ignore DOS carriage returns in Linux files. [Richard Myers]
|
2013-12-14 16:32:44 +01:00
|
|
|
|
2013-12-15 01:50:55 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.012 2002-12-18
|
|
|
|
|
==========================
|
2013-12-15 00:04:10 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2013-11-29 14:28:48 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix parsing bug with casex statements containing case items
|
|
|
|
|
with bit extracts of parameters. [Richard Myers]
|
|
|
|
|
* Fix bug which could cause writes of non-power-of-2 sized arrays to
|
|
|
|
|
corrupt memory beyond the size of the array. [Dan Lussier]
|
|
|
|
|
* Fix bug which did not detect UNOPT problems caused by
|
|
|
|
|
submodules. See the description in the verilator man page. [John Deroo]
|
|
|
|
|
* Fix compile with threaded Perl. [Ami Keren]
|
2013-09-30 22:56:52 +02:00
|
|
|
|
2013-10-29 01:41:05 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.010 2002-11-03
|
|
|
|
|
==========================
|
2013-11-27 00:46:55 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2013-10-23 02:10:43 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support SystemC 2.0.1. SystemPerl version 1.130 or newer is required.
|
2013-10-23 04:02:53 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2013-10-18 13:06:32 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix bug with inlined modules under other inlined modules. [Scott Bleiweiss]
|
2013-10-29 01:24:31 +01:00
|
|
|
|
2013-09-30 22:56:52 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.005 2002-10-21
|
|
|
|
|
==========================
|
2013-10-14 02:05:57 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2013-10-29 02:00:40 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Fix X's in case (not casex/z) to constant propagate correctly.
|
|
|
|
|
* Fix missing include. [Kurachi]
|
2013-10-30 01:15:01 +01:00
|
|
|
|
2013-11-01 03:39:26 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.004 2002-10-10
|
|
|
|
|
==========================
|
2013-09-30 02:56:19 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2013-09-30 02:56:19 +02:00
|
|
|
|
2021-04-03 19:11:26 +02:00
|
|
|
* Add module_inline metacomment and associated optimizations.
|
|
|
|
|
* Allow coverage_block_off metacomment in place of `coverage_block_off.
|
2021-03-14 19:11:57 +01:00
|
|
|
This prevents problems with Emacs AUTORESET. [Ray Strouble]
|
|
|
|
|
* Fix `coverage_block_off also disabling subsequent blocks.
|
|
|
|
|
* Fix unrolling of loops with multiple simple statements.
|
|
|
|
|
* Fix compile warnings on newer GCC. [Kurachi]
|
|
|
|
|
* Additional concatenation optimizations.
|
2013-09-30 22:52:43 +02:00
|
|
|
|
2013-09-30 02:56:19 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.003 2002-09-13
|
|
|
|
|
==========================
|
2013-08-15 14:43:37 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2013-08-18 02:34:49 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Now compiles on Windows 2000 with Cygwin.
|
|
|
|
|
* Fix bug with pin assignments to wide memories.
|
|
|
|
|
* Optimize wire assignments to constants.
|
2013-09-10 13:16:13 +02:00
|
|
|
|
2013-09-07 22:43:43 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.002 2002-08-19
|
|
|
|
|
==========================
|
2013-09-04 01:35:32 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2013-09-04 03:40:43 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* First public release of version 3.
|
2013-09-04 01:35:32 +02:00
|
|
|
|
2013-06-02 20:52:19 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 3.000 2002-08-03
|
|
|
|
|
==========================
|
2013-06-06 05:35:47 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2013-06-13 13:58:52 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* All new code base. Many changes too numerous to mention.
|
2013-07-30 03:47:23 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2013-06-14 01:38:18 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Approximately 4 times faster then Verilator 2.
|
|
|
|
|
* Support initial statements
|
|
|
|
|
* Support correct blocking/nonblocking assignments
|
|
|
|
|
* Support `defines across multiple modules
|
|
|
|
|
* Optimize call ordering, constant propagation, and dead code elimination.
|
2013-07-30 04:03:47 +02:00
|
|
|
|
2013-07-29 16:37:58 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 2.1.8 2002-04-03
|
|
|
|
|
==========================
|
2013-06-02 20:52:19 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2013-05-16 04:00:28 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* All applications must now link against include/verilated.cpp
|
2013-05-28 03:39:19 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2013-05-28 04:39:59 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Paths specified to verilator_make should be absolute, or be formed
|
|
|
|
|
to allow for execution in the object directory (prepend ../ to each path.)
|
|
|
|
|
This allows relative filenames for makes which hash and cache dependencies.
|
|
|
|
|
* Add warning when parameter constants are too large. [John Deroo]
|
|
|
|
|
* Add warning when x/?'s used in non-casez statements.
|
|
|
|
|
* Add warning when blocking assignments used in posedge blocks. [Dan Lussier]
|
|
|
|
|
* Split evaluation function into clocked and non-clocked, 20% perf gain.
|
2013-05-16 04:00:28 +02:00
|
|
|
|
2013-05-24 02:19:51 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 2.1.5 2001-12-01
|
|
|
|
|
==========================
|
2013-05-25 03:14:42 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2013-05-16 04:00:28 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add coverage analysis. In conjunction with SystemC provide line
|
|
|
|
|
coverage reports, without SystemC, provide a hook to user written
|
|
|
|
|
accumulation function. See --coverage option of verilator_make.
|
2013-03-09 22:48:10 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2014-05-08 13:15:44 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Relaxed multiply range checking
|
|
|
|
|
* Support for constants up to 128 bits
|
|
|
|
|
* Randomize values used when assigning to X's.
|
|
|
|
|
* Add -guard option of internal testing.
|
|
|
|
|
* Changed indentation in emitted code to be automatically generated.
|
|
|
|
|
* Fix corruption of assignments of signal over 32 bits with non-0 lsb.
|
2014-05-08 13:15:44 +02:00
|
|
|
|
|
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 2.1.4 2001-11-16
|
|
|
|
|
==========================
|
2014-05-08 13:15:44 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2014-05-08 13:15:44 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add $c("c_commands();"); for embedding arbitrary C code in Verilog.
|
2014-05-08 13:15:44 +02:00
|
|
|
|
2013-05-10 13:09:25 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 2.1.3 2001-11-03
|
|
|
|
|
==========================
|
2013-03-09 22:48:10 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2013-02-10 15:54:27 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support for parameters.
|
2013-02-27 05:01:19 +01:00
|
|
|
|
2013-02-27 04:26:47 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 2.1.2 2001-10-25
|
|
|
|
|
==========================
|
2013-02-21 02:14:15 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2013-02-22 05:38:29 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Verilog Errors now reference the .v file rather then the .vpp file.
|
2013-02-14 02:32:25 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2013-02-14 12:55:09 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Support strings in assignments: reg [31:0] foo = "STRG";
|
|
|
|
|
* Support %m in format strings. Ripped out old $info support, use
|
|
|
|
|
Verilog-Perl's vpm program instead.
|
|
|
|
|
* Convert $stop to call of v_stop() which user can define.
|
|
|
|
|
* Fix bug where a==b==c would have wrong precedence rule.
|
|
|
|
|
* Fix bug where XNOR on odd-bit-widths (~^ or ^~) had bad value.
|
2013-03-06 04:13:22 +01:00
|
|
|
|
2013-02-10 15:54:27 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 2.1.1 2001-05-17
|
|
|
|
|
==========================
|
2013-02-14 01:03:10 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Major:**
|
2013-02-11 13:17:18 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* New test_sp directory for System-Perl (SystemC) top level instantiation
|
|
|
|
|
of the Verilated code, lower modules are still C++ code. (Experimental).
|
|
|
|
|
* New test_spp directory for Pure System-Perl (SystemC) where every module
|
|
|
|
|
is true SystemC code. (Experimental)
|
2013-02-24 03:10:25 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2013-03-09 01:25:20 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Input ports are now loaded by pointer reference into the sub-cell.
|
|
|
|
|
This is faster on I-386 machines, as the stack must be used when there are
|
|
|
|
|
a large number of parameters. Also, this simplifies debugging as the value
|
|
|
|
|
of input ports exists for tracing.
|
|
|
|
|
* Many code cleanups towards standard C++ style conventions.
|
2013-02-11 13:17:18 +01:00
|
|
|
|
2013-01-15 03:49:22 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 2.1.0 2001-05-08
|
|
|
|
|
==========================
|
2013-01-15 03:49:22 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
**Minor:**
|
2013-02-02 15:33:04 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Many code cleanups towards standard C++ style conventions.
|
2013-01-15 05:19:44 +01:00
|
|
|
|
2013-01-18 03:40:37 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Version history lost
|
|
|
|
|
====================
|
2013-01-20 18:19:22 +01:00
|
|
|
|
2013-02-02 18:55:28 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 1.8 1996-07-08
|
|
|
|
|
========================
|
2013-01-17 02:58:48 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
[Versions 0 to 1.8 were by Paul Wasson]
|
|
|
|
|
* Fix single bit in concat from instance output incorrect offset bug.
|
2013-01-17 02:58:48 +01:00
|
|
|
|
2013-01-16 01:26:35 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 1.7 1996-05-20
|
|
|
|
|
========================
|
2013-01-19 03:35:43 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Mask unused bits of DONTCAREs.
|
2013-01-26 03:27:19 +01:00
|
|
|
|
2013-02-03 00:33:10 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 1.6 1996-05-13
|
|
|
|
|
========================
|
2013-01-18 01:04:36 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Add fasttrace script
|
2013-02-02 18:43:28 +01:00
|
|
|
|
2013-01-16 01:26:35 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 1.5 1996-01-09
|
|
|
|
|
========================
|
2012-12-04 02:43:13 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Pass structure pointer into translated code, so multiple instances can use same functions.
|
|
|
|
|
* Fix static value concat on casex items.
|
2012-12-04 02:43:13 +01:00
|
|
|
|
2012-12-31 23:05:13 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 1.1 1995-03-30
|
|
|
|
|
========================
|
2012-12-06 15:40:16 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Bug fixes, added verimake_partial script, performance improvements.
|
2012-12-16 03:41:37 +01:00
|
|
|
|
2012-12-18 01:07:23 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 1.0c 1994-09-30
|
|
|
|
|
=========================
|
2012-12-18 02:26:40 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* Initial release of Verilator
|
2013-01-09 01:06:52 +01:00
|
|
|
|
2013-01-10 01:00:12 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Verilator 0.0 1994-07-08
|
|
|
|
|
========================
|
2012-12-04 02:43:13 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
* First code written.
|
2012-11-04 01:19:31 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
.. ----------------------------------------------------------------------
|
2012-11-14 02:12:23 +01:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
Copyright
|
|
|
|
|
=========
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2022-01-01 14:26:40 +01:00
|
|
|
Copyright 2001-2022 by Wilson Snyder. This program is free software; you
|
2009-05-04 23:07:57 +02:00
|
|
|
can redistribute it and/or modify it under the terms of either the GNU
|
|
|
|
|
Lesser General Public License Version 3 or the Perl Artistic License
|
|
|
|
|
Version 2.0.
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2021-03-14 19:11:57 +01:00
|
|
|
SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
|