..
assert_deferred_nonzero.sv
support for deferred immediate assertion statements
2021-08-16 17:50:23 -06:00
auto_dim_int.sv
improved parsing in declaration contexts
2021-07-05 18:00:12 -04:00
binding_mix_param.sv
forbid mixing ordered and named port or param bindings
2021-04-29 15:32:54 -04:00
binding_mix_port.sv
forbid mixing ordered and named port or param bindings
2021-04-29 15:32:54 -04:00
binding_not_found_class.sv
check for unknown named bindings
2021-06-01 13:09:36 -04:00
binding_not_found_param.sv
check for unknown named bindings
2021-06-01 13:09:36 -04:00
binding_not_found_port.sv
check for unknown named bindings
2021-06-01 13:09:36 -04:00
binding_overflow_class.sv
refactor positional binding checking and resolution
2021-04-30 14:10:09 -04:00
binding_overflow_param.sv
refactor positional binding checking and resolution
2021-04-30 14:10:09 -04:00
binding_overflow_port.sv
refactor positional binding checking and resolution
2021-04-30 14:10:09 -04:00
block_comment_eof.sv
preprocessor cleanup and extended test coverage
2021-05-06 16:14:41 -04:00
block_start_1.sv
improved parsing in declaration contexts
2021-07-05 18:00:12 -04:00
block_start_2.sv
improved parsing in declaration contexts
2021-07-05 18:00:12 -04:00
block_start_3.sv
improved parsing in declaration contexts
2021-07-05 18:00:12 -04:00
block_start_4.sv
improved parsing in declaration contexts
2021-07-05 18:00:12 -04:00
break_inside_fork.sv
more test coverage and dead code removal
2021-03-09 15:48:10 -05:00
break_outside_loop.sv
more test coverage and dead code removal
2021-03-09 15:48:10 -05:00
byte_packed.sv
initial pass improving decl parsing error messages
2021-07-03 13:23:33 -04:00
case_multiple_defaults.sv
updated case inside representation
2021-02-17 13:29:44 -05:00
casex_inside.sv
updated case inside representation
2021-02-17 13:29:44 -05:00
casez_inside.sv
updated case inside representation
2021-02-17 13:29:44 -05:00
charge_strength_non_trireg.sv
additional declaration parsing errors
2021-07-09 15:41:17 -04:00
class_missing_item.sv
initial parameterized class data type support
2021-04-12 21:22:14 -04:00
class_not_specialized.sv
initial parameterized class data type support
2021-04-12 21:22:14 -04:00
class_parameter_missing_1.sv
initial parameterized class data type support
2021-04-12 21:22:14 -04:00
class_parameter_missing_2.sv
initial parameterized class data type support
2021-04-12 21:22:14 -04:00
class_parameter_not_expr.sv
initial parameterized class data type support
2021-04-12 21:22:14 -04:00
class_parameter_not_type.sv
initial parameterized class data type support
2021-04-12 21:22:14 -04:00
const_const.sv
initial pass improving decl parsing error messages
2021-07-03 13:23:33 -04:00
continue_inside_fork.sv
more test coverage and dead code removal
2021-03-09 15:48:10 -05:00
continue_outside_loop.sv
more test coverage and dead code removal
2021-03-09 15:48:10 -05:00
dangling_stmt.sv
parser rejects errant declarations
2020-07-22 21:35:25 -06:00
decl_after_stmt.sv
error if procedural block has a decl after a stmt
2021-05-29 21:55:50 -04:00
decl_bare.sv
improved parsing in declaration contexts
2021-07-05 18:00:12 -04:00
decl_binop_asgn.sv
initial pass improving decl parsing error messages
2021-07-03 13:23:33 -04:00
decl_const_var_uninit.sv
additional declaration parsing errors
2021-07-09 15:41:17 -04:00
decl_const_wire.sv
additional declaration parsing errors
2021-07-09 15:41:17 -04:00
decl_delay_asgn.sv
initial pass improving decl parsing error messages
2021-07-03 13:23:33 -04:00
decl_delay_asgn_init.sv
initial pass improving decl parsing error messages
2021-07-03 13:23:33 -04:00
decl_delay_asgn_package.sv
initial pass improving decl parsing error messages
2021-07-03 13:23:33 -04:00
decl_delay_asgn_port.sv
initial pass improving decl parsing error messages
2021-07-03 13:23:33 -04:00
decl_missing_comma.sv
improved parsing in declaration contexts
2021-07-05 18:00:12 -04:00
decl_non_blocking_asgn.sv
initial pass improving decl parsing error messages
2021-07-03 13:23:33 -04:00
decl_ranged_implicit.sv
minor type cleanup and fixes
2021-07-06 12:12:59 -04:00
decl_signed_implicit.sv
minor type cleanup and fixes
2021-07-06 12:12:59 -04:00
decl_trailing_comma.sv
improved parsing in declaration contexts
2021-07-05 18:00:12 -04:00
decl_wire_var.sv
minor type cleanup and fixes
2021-07-06 12:12:59 -04:00
default_nettype_invalid.sv
add optional error message patterns to error test suite
2021-01-20 15:34:18 -07:00
default_nettype_none.sv
add optional error message patterns to error test suite
2021-01-20 15:34:18 -07:00
double_backtick.sv
preprocessor cleanup and extended test coverage
2021-05-06 16:14:41 -04:00
drive_strength_uninit.sv
additional declaration parsing errors
2021-07-09 15:41:17 -04:00
elab_task_stray_after_args.sv
improved parsing in declaration contexts
2021-07-05 18:00:12 -04:00
elab_task_stray_before_args.sv
improved parsing in declaration contexts
2021-07-05 18:00:12 -04:00
elab_task_stray_no_args.sv
improved parsing in declaration contexts
2021-07-05 18:00:12 -04:00
end_label_block_only.sv
fix handling of end labels
2021-06-15 17:47:32 -04:00
end_label_block_wrong.sv
fix handling of end labels
2021-06-15 17:47:32 -04:00
end_label_class_wrong.sv
fix handling of end labels
2021-06-15 17:47:32 -04:00
end_label_function_wrong.sv
fix handling of end labels
2021-06-15 17:47:32 -04:00
end_label_gen_block_only.sv
fix handling of end labels
2021-06-15 17:47:32 -04:00
end_label_gen_block_wrong.sv
fix handling of end labels
2021-06-15 17:47:32 -04:00
end_label_interface_wrong.sv
fix handling of end labels
2021-06-15 17:47:32 -04:00
end_label_module_wrong.sv
fix handling of end labels
2021-06-15 17:47:32 -04:00
end_label_package_wrong.sv
fix handling of end labels
2021-06-15 17:47:32 -04:00
end_label_task_wrong.sv
fix handling of end labels
2021-06-15 17:47:32 -04:00
enum_conflict.sv
add optional error message patterns to error test suite
2021-01-20 15:34:18 -07:00
enum_post_signed.sv
initial pass improving decl parsing error messages
2021-07-03 13:23:33 -04:00
export_outside_package_1.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
export_outside_package_2.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
export_outside_package_3.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
for_loop_decl_no_init.sv
additional declaration parsing errors
2021-07-09 15:41:17 -04:00
for_loop_init_bare.sv
improved parsing in declaration contexts
2021-07-05 18:00:12 -04:00
for_loop_init_delay.sv
improved parsing in declaration contexts
2021-07-05 18:00:12 -04:00
for_loop_init_nblk.sv
improved parsing in declaration contexts
2021-07-05 18:00:12 -04:00
for_loop_init_stray.sv
improved parsing in declaration contexts
2021-07-05 18:00:12 -04:00
generate_case_multiple_defaults.sv
updated case inside representation
2021-02-17 13:29:44 -05:00
highz0_highz1.sv
add optional error message patterns to error test suite
2021-01-20 15:34:18 -07:00
include_apos.sv
additional error case coverage
2020-12-03 19:02:33 -07:00
include_filename_eof.sv
preprocessor cleanup and extended test coverage
2021-05-06 16:14:41 -04:00
include_loop_1.sv
detect infinite include loops
2021-05-01 21:12:00 -04:00
include_loop_2.sv
detect infinite include loops
2021-05-01 21:12:00 -04:00
instantiation_extra_comma.sv
improved parsing in declaration contexts
2021-07-05 18:00:12 -04:00
instantiation_missing_ports.sv
improved parsing in declaration contexts
2021-07-05 18:00:12 -04:00
instantiation_no_label.sv
initial pass improving decl parsing error messages
2021-07-03 13:23:33 -04:00
instantiation_no_module.sv
initial pass improving decl parsing error messages
2021-07-03 13:23:33 -04:00
instantiation_not_ports.sv
initial pass improving decl parsing error messages
2021-07-03 13:23:33 -04:00
instantiation_not_range.sv
initial pass improving decl parsing error messages
2021-07-03 13:23:33 -04:00
instantiation_trailing_comma.sv
improved parsing in declaration contexts
2021-07-05 18:00:12 -04:00
interface_bad_expr.sv
interface instantiation checks for errant name resolution
2021-05-09 19:32:39 -04:00
interface_bad_expr_genvar.sv
fix errant expr resolution flagging
2021-07-23 19:59:26 -04:00
interface_excess_ports.sv
additional interface conversion test coverage
2020-12-11 12:41:20 -07:00
interface_mismatch.svh
improved interface modport type checking
2021-05-12 22:00:22 -04:00
interface_mismatch_1.sv
improved interface modport type checking
2021-05-12 22:00:22 -04:00
interface_mismatch_2.sv
improved interface modport type checking
2021-05-12 22:00:22 -04:00
interface_mismatch_3.sv
improved interface modport type checking
2021-05-12 22:00:22 -04:00
interface_mismatch_4.sv
improved interface modport type checking
2021-05-12 22:00:22 -04:00
interface_mismatch_5.sv
improved interface modport type checking
2021-05-12 22:00:22 -04:00
interface_mismatch_6.sv
improved interface modport type checking
2021-05-12 22:00:22 -04:00
interface_missing_direction.sv
additional interface conversion test coverage
2020-12-11 12:41:20 -07:00
interface_modport_missing.sv
additional interface conversion test coverage
2020-12-11 12:41:20 -07:00
interface_modport_unlisted.sv
additional interface conversion test coverage
2020-12-11 12:41:20 -07:00
interface_non_lhs.sv
additional interface conversion test coverage
2020-12-11 12:41:20 -07:00
interface_param_mismatch_expr.sv
refactor parameter binding resolution
2021-05-31 14:13:49 -04:00
interface_param_mismatch_type.sv
refactor parameter binding resolution
2021-05-31 14:13:49 -04:00
interface_unbound_modport.sv
improve edge case messaging
2020-12-30 17:17:27 -07:00
lhs_expr.sv
add optional error message patterns to error test suite
2021-01-20 15:34:18 -07:00
lhs_pattern.sv
add optional error message patterns to error test suite
2021-01-20 15:34:18 -07:00
line_char1.sv
additional error case coverage
2020-12-03 19:02:33 -07:00
line_char2.sv
additional error case coverage
2020-12-03 19:02:33 -07:00
line_eof.sv
additional error case coverage
2020-12-03 19:02:33 -07:00
line_level.sv
additional error case coverage
2020-12-03 19:02:33 -07:00
localparam_no_default.sv
support for parameters without defaults
2021-01-21 11:55:23 -07:00
localparam_type_no_default.sv
support for parameters without defaults
2021-01-21 11:55:23 -07:00
macro_arg_bad_eq.sv
preprocessor cleanup and extended test coverage
2021-05-06 16:14:41 -04:00
macro_arg_bad_name.sv
preprocessor cleanup and extended test coverage
2021-05-06 16:14:41 -04:00
macro_args_empty.sv
preprocessor cleanup and extended test coverage
2021-05-06 16:14:41 -04:00
macro_illegal_name.sv
preprocessor cleanup and extended test coverage
2021-05-06 16:14:41 -04:00
macro_overapplied.sv
preprocessor cleanup and extended test coverage
2021-05-06 16:14:41 -04:00
macro_unapplied.sv
preprocessor cleanup and extended test coverage
2021-05-06 16:14:41 -04:00
macro_unapplied_eof.sv
preprocessor cleanup and extended test coverage
2021-05-06 16:14:41 -04:00
macro_underapplied.sv
preprocessor cleanup and extended test coverage
2021-05-06 16:14:41 -04:00
missing_class.sv
initial parameterized class data type support
2021-04-12 21:22:14 -04:00
missing_end.sv
improved parse errors for certain unmatched tokens
2021-03-06 15:03:04 -05:00
missing_endfunction.sv
improved parse errors for certain unmatched tokens
2021-03-06 15:03:04 -05:00
missing_endgenerate.sv
improved parse errors for certain unmatched tokens
2021-03-06 15:03:04 -05:00
missing_endinterface_1.sv
improved parse errors for certain unmatched tokens
2021-03-06 15:03:04 -05:00
missing_endinterface_2.sv
improved parse errors for certain unmatched tokens
2021-03-06 15:03:04 -05:00
missing_endmodule_1.sv
improved parse errors for certain unmatched tokens
2021-03-06 15:03:04 -05:00
missing_endmodule_2.sv
improved parse errors for certain unmatched tokens
2021-03-06 15:03:04 -05:00
missing_endpackage.sv
improved parse errors for certain unmatched tokens
2021-03-06 15:03:04 -05:00
missing_endtask.sv
improved parse errors for certain unmatched tokens
2021-03-06 15:03:04 -05:00
missing_include.sv
add optional error message patterns to error test suite
2021-01-20 15:34:18 -07:00
missing_join.sv
improved parse errors for certain unmatched tokens
2021-03-06 15:03:04 -05:00
module_import_missing_package.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
module_import_missing_package_item.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
module_param_mismatch_expr.sv
refactor parameter binding resolution
2021-05-31 14:13:49 -04:00
module_param_mismatch_type.sv
refactor parameter binding resolution
2021-05-31 14:13:49 -04:00
package_export_export_1.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
package_export_export_2.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
package_export_missing.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
package_export_wrong_1.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
package_export_wrong_2.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
package_import_missing_package.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
package_import_missing_package_item.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
package_loop_1.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
package_loop_2.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
package_scope_conflict_1.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
package_scope_conflict_2.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
package_scope_conflict_3.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
package_scope_conflict_4.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
package_scope_conflict_5.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
package_scope_conflict_6.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
package_scope_conflict_7.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
package_scope_conflict_8.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
package_self_export.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
package_self_import.sv
package conversion overhaul
2021-01-23 21:54:39 -07:00
package_self_reference_early.sv
allow packages to reference their own items explicitly
2021-04-13 14:44:42 -04:00
package_self_reference_loop.sv
allow packages to reference their own items explicitly
2021-04-13 14:44:42 -04:00
parameter_list_not_type.sv
specialized parsing for parameter port lists
2021-08-20 18:08:14 -06:00
parameter_no_default_1.sv
support for parameters without defaults
2021-01-21 11:55:23 -07:00
parameter_no_default_2.sv
support for parameters without defaults
2021-01-21 11:55:23 -07:00
parameter_no_default_3.sv
support for parameters without defaults
2021-01-21 11:55:23 -07:00
port_list_incomplete.sv
improved parsing in declaration contexts
2021-07-05 18:00:12 -04:00
return_inside_fork.sv
more test coverage and dead code removal
2021-03-09 15:48:10 -05:00
return_outside_tf.sv
more test coverage and dead code removal
2021-03-09 15:48:10 -05:00
run.sh
add write adjacent mode
2021-02-03 09:30:30 -05:00
run_on_decl_item.sv
improved parsing in declaration contexts
2021-07-05 18:00:12 -04:00
run_on_decl_package.sv
additional declaration parsing errors
2021-07-09 15:41:17 -04:00
run_on_decl_stmt.sv
improved parsing in declaration contexts
2021-07-05 18:00:12 -04:00
size_cast_neg_lit_1.sv
fix internal interpretation of negative number literals
2021-08-07 21:11:35 -06:00
size_cast_neg_lit_2.sv
fix internal interpretation of negative number literals
2021-08-07 21:11:35 -06:00
size_cast_neg_var_1.sv
fix internal interpretation of negative number literals
2021-08-07 21:11:35 -06:00
size_cast_neg_var_2.sv
fix internal interpretation of negative number literals
2021-08-07 21:11:35 -06:00
size_cast_x_lit.sv
fix internal interpretation of negative number literals
2021-08-07 21:11:35 -06:00
size_cast_x_var.sv
fix internal interpretation of negative number literals
2021-08-07 21:11:35 -06:00
size_cast_zero_lit.sv
fix internal interpretation of negative number literals
2021-08-07 21:11:35 -06:00
size_cast_zero_var.sv
fix internal interpretation of negative number literals
2021-08-07 21:11:35 -06:00
stray_escaped_vendor_comment.sv
tolerate escaped vendor comments within macros
2021-08-16 18:22:04 -06:00
string_directive.sv
preprocessor cleanup and extended test coverage
2021-05-06 16:14:41 -04:00
string_literal_backtick_eof.sv
preprocessor cleanup and extended test coverage
2021-05-06 16:14:41 -04:00
string_literal_eof.sv
preprocessor cleanup and extended test coverage
2021-05-06 16:14:41 -04:00
string_packed.sv
initial pass improving decl parsing error messages
2021-07-03 13:23:33 -04:00
string_signed.sv
initial pass improving decl parsing error messages
2021-07-03 13:23:33 -04:00
struct_extra_named_field.sv
more test coverage and dead code removal
2021-03-09 15:48:10 -05:00
struct_extra_unnamed_field.sv
more test coverage and dead code removal
2021-03-09 15:48:10 -05:00
struct_invalid_key.sv
revised struct pattern representation
2021-06-20 15:32:12 -04:00
struct_missing_field.sv
more test coverage and dead code removal
2021-03-09 15:48:10 -05:00
struct_non_integer.sv
revised struct pattern representation
2021-06-20 15:32:12 -04:00
struct_out_of_bounds.sv
revised struct pattern representation
2021-06-20 15:32:12 -04:00
struct_out_of_bounds_neg.sv
fix internal interpretation of negative number literals
2021-08-07 21:11:35 -06:00
struct_unknown_field.sv
more test coverage and dead code removal
2021-03-09 15:48:10 -05:00
typeof_packed.sv
initial pass improving decl parsing error messages
2021-07-03 13:23:33 -04:00
typeof_signed.sv
initial pass improving decl parsing error messages
2021-07-03 13:23:33 -04:00
undefined_macro.sv
add optional error message patterns to error test suite
2021-01-20 15:34:18 -07:00
unmatched_else.sv
preprocessor cleanup and extended test coverage
2021-05-06 16:14:41 -04:00
unmatched_elsif.sv
preprocessor cleanup and extended test coverage
2021-05-06 16:14:41 -04:00
unmatched_endif.sv
add optional error message patterns to error test suite
2021-01-20 15:34:18 -07:00
unmatched_ifdef.sv
additional error case coverage
2020-12-03 19:02:33 -07:00
var_var.sv
initial pass improving decl parsing error messages
2021-07-03 13:23:33 -04:00