update examples directory structure with thoughts from bikeride
This commit is contained in:
parent
0a4a1519c4
commit
aec2850280
|
|
@ -1,6 +1,6 @@
|
|||
#!/bin/bash
|
||||
yosys -p 'synth_ice40 -top top_level -json top_level.json' src/top_level.sv
|
||||
nextpnr-ice40 --hx1k --json top_level.json --pcf pcf/top_level.pcf --asc top_level.asc
|
||||
yosys -p 'synth_ice40 -top top_level -json top_level.json' top_level.sv
|
||||
nextpnr-ice40 --hx1k --json top_level.json --pcf top_level.pcf --asc top_level.asc
|
||||
icepack top_level.asc top_level.bin
|
||||
rm -f *.json
|
||||
rm -f *.asc
|
||||
|
|
@ -1,7 +1,7 @@
|
|||
`default_nettype none
|
||||
`timescale 1ns / 1ps
|
||||
|
||||
`include "src/manta.v"
|
||||
`include "manta.v"
|
||||
|
||||
module top_level (
|
||||
input wire clk,
|
||||
|
|
@ -15,7 +15,7 @@ module top_level (
|
|||
input wire rs232_rx_ttl,
|
||||
output logic rs232_tx_ttl
|
||||
);
|
||||
|
||||
|
||||
manta manta_inst (
|
||||
.clk(clk),
|
||||
|
||||
Loading…
Reference in New Issue