From aec28502807fbb1ba77432018c418cf20d1c4ecf Mon Sep 17 00:00:00 2001 From: Fischer Moseley <42497969+fischermoseley@users.noreply.github.com> Date: Mon, 3 Apr 2023 21:29:28 -0400 Subject: [PATCH] update examples directory structure with thoughts from bikeride --- examples/icestick/io_core/build.sh | 4 ++-- examples/icestick/io_core/{src => }/manta.v | 0 examples/icestick/io_core/{pcf => }/top_level.pcf | 0 examples/icestick/io_core/{src => }/top_level.sv | 4 ++-- 4 files changed, 4 insertions(+), 4 deletions(-) rename examples/icestick/io_core/{src => }/manta.v (100%) rename examples/icestick/io_core/{pcf => }/top_level.pcf (100%) rename examples/icestick/io_core/{src => }/top_level.sv (90%) diff --git a/examples/icestick/io_core/build.sh b/examples/icestick/io_core/build.sh index b387a6e..1b790ea 100755 --- a/examples/icestick/io_core/build.sh +++ b/examples/icestick/io_core/build.sh @@ -1,6 +1,6 @@ #!/bin/bash -yosys -p 'synth_ice40 -top top_level -json top_level.json' src/top_level.sv -nextpnr-ice40 --hx1k --json top_level.json --pcf pcf/top_level.pcf --asc top_level.asc +yosys -p 'synth_ice40 -top top_level -json top_level.json' top_level.sv +nextpnr-ice40 --hx1k --json top_level.json --pcf top_level.pcf --asc top_level.asc icepack top_level.asc top_level.bin rm -f *.json rm -f *.asc \ No newline at end of file diff --git a/examples/icestick/io_core/src/manta.v b/examples/icestick/io_core/manta.v similarity index 100% rename from examples/icestick/io_core/src/manta.v rename to examples/icestick/io_core/manta.v diff --git a/examples/icestick/io_core/pcf/top_level.pcf b/examples/icestick/io_core/top_level.pcf similarity index 100% rename from examples/icestick/io_core/pcf/top_level.pcf rename to examples/icestick/io_core/top_level.pcf diff --git a/examples/icestick/io_core/src/top_level.sv b/examples/icestick/io_core/top_level.sv similarity index 90% rename from examples/icestick/io_core/src/top_level.sv rename to examples/icestick/io_core/top_level.sv index 16551e5..c8a1711 100644 --- a/examples/icestick/io_core/src/top_level.sv +++ b/examples/icestick/io_core/top_level.sv @@ -1,7 +1,7 @@ `default_nettype none `timescale 1ns / 1ps -`include "src/manta.v" +`include "manta.v" module top_level ( input wire clk, @@ -15,7 +15,7 @@ module top_level ( input wire rs232_rx_ttl, output logic rs232_tx_ttl ); - + manta manta_inst ( .clk(clk),