Icarus Verilog
Go to file
Stephen Williams 23ea74a126 Implement cbAtStartOfSimTime callback.
(cherry picked from commit 1b7a42ffcd)
2009-11-01 10:53:13 -08:00
cadpli Cleanup Makefile clean targets. 2009-10-29 10:55:20 -07:00
driver Cleanup Makefile clean targets. 2009-10-29 10:55:20 -07:00
driver-vpi In driver-vpi only look at the first CC word when checking compiler path. 2009-10-14 09:59:39 -07:00
examples Remove .cvsignore files. 2009-01-02 16:06:19 -08:00
ivlpp More build system tweaks, fixing pr2881797. 2009-10-20 10:04:01 -07:00
libveriuser Cleanup Makefile clean targets. 2009-10-29 10:55:20 -07:00
scripts Add guards in CREATE_VERSION script. 2009-03-26 11:38:32 -07:00
solaris Drop useless CVS stuff in .txt files 2009-03-13 09:42:17 -07:00
tgt-fpga Drop useless CVS stuff in .txt files 2009-03-13 09:42:17 -07:00
tgt-null More build system tweaks, fixing pr2881797. 2009-10-20 10:04:01 -07:00
tgt-pal Update mkinstalldirs to handle paths with spaces. 2009-02-04 08:44:22 -08:00
tgt-stub More build system tweaks, fixing pr2881797. 2009-10-20 10:04:01 -07:00
tgt-verilog Update mkinstalldirs to handle paths with spaces. 2009-02-04 08:44:22 -08:00
tgt-vhdl V0.9: Fix memory.h include issues. 2009-10-26 11:16:50 -07:00
tgt-vvp Add appropriate hysteresis to tranif input pins. 2009-10-28 16:32:40 -07:00
vpi Cleanup Makefile clean targets. 2009-10-29 10:55:20 -07:00
vvp Implement cbAtStartOfSimTime callback. 2009-11-01 10:53:13 -08:00
.gitignore Still more build system cleanup. 2009-10-26 11:12:04 -07:00
AStatement.cc Basic elaboration of analog contribution statements. 2008-10-22 21:56:00 -07:00
AStatement.h Basic elaboration of analog contribution statements. 2008-10-22 21:56:00 -07:00
Attrib.cc Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
Attrib.h Fix some memory leaks/issues found with cppcheck. 2009-08-18 14:36:32 -07:00
BUGS.txt Update the BUG submission guidlines. 2008-10-26 13:43:35 -07:00
COPYING
HName.cc Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
HName.h Multiple passes for run_defparams. 2008-06-25 22:02:22 -07:00
INSTALL
LineInfo.cc LineInfo uses perm_string for path. 2007-12-20 12:31:01 -05:00
LineInfo.h Fix some memory leaks/issues found with cppcheck. 2009-08-18 14:36:32 -07:00
Makefile.in Cleanup Makefile clean targets. 2009-10-29 10:55:20 -07:00
Module.cc Add support for unconnected_drive. 2009-06-08 08:42:12 -07:00
Module.h Add support for unconnected_drive. 2009-06-08 08:42:12 -07:00
PDelays.cc Some delay expressions need to probe their width (ternary) 2009-06-08 11:09:10 -07:00
PDelays.h Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
PEvent.cc Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
PEvent.h Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
PExpr.cc Rework shift and power PExpr nodes for their special needs. 2008-11-28 14:40:25 -08:00
PExpr.h The concat object can get out of sequence so don't optimize a repeat. 2009-10-01 12:00:39 -07:00
PFunction.cc Shadow reduction part 2 2008-10-13 20:12:47 -07:00
PGate.cc Delays expressions are 64bit integers. 2009-02-25 21:30:05 -08:00
PGate.h Delays expressions are 64bit integers. 2009-02-25 21:30:05 -08:00
PGenerate.cc Fix for pr2842185 and for genvar scopes. 2009-09-03 17:24:45 -07:00
PGenerate.h Fix for pr2842185 and for genvar scopes. 2009-09-03 17:24:45 -07:00
PScope.cc Better handle nesting of scopes inside generate blocks. 2008-06-19 21:31:53 -07:00
PScope.h Support parameter, localparam, and event declarations in any scope. 2008-09-19 20:23:14 -07:00
PSpec.cc Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
PSpec.h Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
PTask.cc Shadow reduction part 2 2008-10-13 20:12:47 -07:00
PTask.h Push the automatic property for tasks and functions to the code gen. 2008-08-20 09:23:14 -07:00
PUdp.cc Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
PUdp.h Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
PWire.cc It is an error to select part of a scalar value. 2009-04-21 15:02:14 -07:00
PWire.h It is an error to select part of a scalar value. 2009-04-21 15:02:14 -07:00
QUICK_START.txt Clean up spurious trailing white space. 2004-10-04 01:10:51 +00:00
README.txt Create support for the --enable-suffix configuration option. 2008-11-17 07:22:46 -08:00
Statement.cc Checks for illegal use of automatically allocated variables. 2008-11-11 20:45:19 -08:00
Statement.h Checks for illegal use of automatically allocated variables. 2008-11-11 20:45:19 -08:00
StringHeap.cc Some compiler cleanup and minor memory leak fixes. 2009-06-23 09:14:03 -07:00
StringHeap.h Some compiler cleanup and minor memory leak fixes. 2009-06-23 09:14:03 -07:00
_pli_types.h.in Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
acc_user.h Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
aclocal.m4 More build system tweaks, fixing pr2881797. 2009-10-20 10:04:01 -07:00
async.cc Infrastructure for elaborating analog statements. 2008-10-21 22:15:49 -07:00
attributes.txt Support time0 resolution of combinational threads. 2003-09-04 20:28:05 +00:00
autoconf.sh Flatten the tree of configure scripts. 2008-12-13 21:42:55 -08:00
check.conf Fix make check to support -tconf configuration method. 2003-12-12 04:36:48 +00:00
compiler.h Add user control over implicit sensitivity list warnings. 2009-09-13 08:27:54 -07:00
config.guess Update config.guess and config.sub to latest version. 2009-09-03 17:48:17 -07:00
config.h.in Some compiler cleanup and minor memory leak fixes. 2009-06-23 09:14:03 -07:00
config.sub Update config.guess and config.sub to latest version. 2009-09-03 17:48:17 -07:00
configure.in We must have autoconf version 2.60 or later. 2009-10-14 09:57:44 -07:00
constants.vams Non-controversial whitespace cleanup 2008-09-04 21:31:30 -07:00
cprop.cc Do not cprop through ternaries if the selector is an l-value. 2009-10-06 07:20:44 -07:00
cygwin.txt Clean up spurious trailing white space. 2004-10-04 01:10:51 +00:00
design_dump.cc Add compiler support for `celldefine, vpiCellInstance 2009-05-24 10:21:37 -07:00
developer-quick-start.txt Touch up new developer quick start 2008-10-31 20:44:54 -07:00
discipline.cc Bring discipline natures all the way to the ivl_target API. 2008-11-03 21:10:10 -08:00
discipline.h Bring discipline natures all the way to the ivl_target API. 2008-11-03 21:10:10 -08:00
disciplines.vams Nature and discipline declarations syntax 2008-05-11 12:13:58 -07:00
dosify.c Fix memory leak, unneeded argument. 2009-08-02 11:01:31 -07:00
dup_expr.cc Add a dup_expr method to NetEUBits. 2008-10-30 22:11:05 -07:00
elab_anet.cc Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
elab_expr.cc The concat object can get out of sequence so don't optimize a repeat. 2009-10-01 12:00:39 -07:00
elab_lval.cc Optimize a full L-value indexed part select, etc. 2009-09-24 13:32:57 -07:00
elab_net.cc Fix index out of bounds error message. 2009-10-28 19:20:00 -07:00
elab_pexpr.cc Display and error for hierarchical references in a parameter r-value expr. 2009-05-19 08:38:46 -07:00
elab_scope.cc Don't replace parameter overrides that did not evaluate. 2009-10-14 10:05:20 -07:00
elab_sig.cc Fix subtle bug in scope checking. 2009-09-03 17:49:18 -07:00
elab_sig_analog.cc Basic elaboration of analog contribution statements. 2008-10-22 21:56:00 -07:00
elaborate.cc Improve some assert messages 2009-09-16 14:45:55 -07:00
elaborate_analog.cc Shadow reduction part 6 2008-12-29 16:00:03 -08:00
emit.cc Bring branches forward as far as the emit method and target_t. 2008-11-09 17:11:04 -08:00
eval.cc Rework of automatic task/function support. 2008-10-29 20:43:00 -07:00
eval_attrib.cc Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
eval_tree.cc $clog2() should return an integer value. 2009-10-14 10:02:20 -07:00
expr_synth.cc Warn that a signed part select may not work for signals < 32 bits. 2009-09-24 13:44:22 -07:00
extensions.txt Spelling fixes 2008-01-29 20:24:24 -08:00
functor.cc Remove the now obsolete NetNet list in NetScopes. 2008-10-26 20:42:11 -07:00
functor.h Elaborate abs() is continuous assign expressions. 2008-05-05 22:00:39 -07:00
glossary.txt
ieee1364-notes.txt Drop useless CVS stuff in .txt files 2009-03-13 09:42:17 -07:00
install-sh Clean up spurious trailing white space. 2004-10-04 01:10:51 +00:00
iverilog-vpi.man Fix man page formatting for portability. 2009-08-10 06:34:18 -07:00
iverilog-vpi.sh Check if the compiler supports C99 and better check for some math functions. 2009-10-01 12:01:11 -07:00
ivl.def Add compiler support for `celldefine, vpiCellInstance 2009-05-24 10:21:37 -07:00
ivl_assert.h Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
ivl_target.h Add compiler support for `celldefine, vpiCellInstance 2009-05-24 10:21:37 -07:00
ivl_target.txt Drop useless CVS stuff in .txt files 2009-03-13 09:42:17 -07:00
ivl_target_priv.h Branches are parts of islands. 2008-11-24 22:00:33 -08:00
lexor.lex Add check for prec > unit in `timescale directive. 2009-07-29 08:28:14 -07:00
lexor_keyword.gperf Fix some keywords, add -g2001-noconfig and fix up uwire/wone. 2009-06-08 09:20:27 -07:00
lexor_keyword.h Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
link_const.cc Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
load_module.cc Fix dependency file (-M) generation. 2009-02-25 13:59:28 -08:00
lpm.txt Spelling fixes. 2003-01-30 16:23:07 +00:00
macosx.txt Clean up spurious trailing white space. 2004-10-04 01:10:51 +00:00
main.cc Add user control over implicit sensitivity list warnings. 2009-09-13 08:27:54 -07:00
mingw.txt Update MinGW requirements, etc. 2009-09-03 17:46:53 -07:00
mkinstalldirs Update mkinstalldirs to handle paths with spaces. 2009-02-04 08:44:22 -08:00
named.h Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
net_analog.cc Bring analog contribution statements to the ivl_target API. 2008-11-06 21:31:34 -08:00
net_assign.cc Account for real type l-values when working with widths. 2008-09-22 21:09:06 -07:00
net_design.cc Report an error when trying to take the concatenation of a real value. 2009-04-03 06:48:59 -07:00
net_event.cc Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
net_expr.cc Fix power operator width in self-determined context. 2009-07-29 08:47:25 -07:00
net_func.cc Fix for pr2123173. 2008-12-29 16:09:33 -08:00
net_link.cc Do not cprop through ternaries if the selector is an l-value. 2009-10-06 07:20:44 -07:00
net_modulo.cc Fix numerous problems with the divide and modulus operators. 2008-11-07 19:58:00 -08:00
net_nex_input.cc Add user control over implicit sensitivity list warnings. 2009-09-13 08:27:54 -07:00
net_nex_output.cc Spelling fixes 2008-01-28 09:15:39 -08:00
net_proc.cc Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
net_scope.cc Fix for pr2842185 and for genvar scopes. 2009-09-03 17:24:45 -07:00
net_tran.cc Collect analog branches into islands. 2008-11-09 15:32:50 -08:00
net_udp.cc Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
netlist.cc Warn that a signed part select may not work for signals < 32 bits. 2009-09-24 13:44:22 -07:00
netlist.h Do not cprop through ternaries if the selector is an l-value. 2009-10-06 07:20:44 -07:00
netlist.txt Drop useless CVS stuff in .txt files 2009-03-13 09:42:17 -07:00
netmisc.cc Add support for initializing outputs declared as output reg. 2009-05-19 08:28:39 -07:00
netmisc.h Warn the user if they use both a default and `timescale based delay. 2009-04-21 14:56:25 -07:00
nodangle.cc Update some copyright dates. 2009-01-14 18:45:40 -08:00
pad_to_width.cc Pads and local signal file/line should be related to creation location. 2008-11-18 20:24:19 -08:00
parse.y Parse the config declarations and report they will be ignored. 2009-06-23 09:25:21 -07:00
parse_api.h Add support for `resetall and other directive changes. 2009-05-24 10:28:42 -07:00
parse_misc.cc Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
parse_misc.h Some compiler cleanup and minor memory leak fixes. 2009-06-23 09:14:03 -07:00
pform.cc Fix for pr2842185 and for genvar scopes. 2009-09-03 17:24:45 -07:00
pform.h Fix for pr2842185 and for genvar scopes. 2009-09-03 17:24:45 -07:00
pform_analog.cc Basic elaboration of analog contribution statements. 2008-10-22 21:56:00 -07:00
pform_disciplines.cc Bring discipline natures all the way to the ivl_target API. 2008-11-03 21:10:10 -08:00
pform_dump.cc Fix for pr2842185 and for genvar scopes. 2009-09-03 17:24:45 -07:00
pform_types.cc Handle indexed defparams. 2008-06-28 09:30:09 -07:00
pform_types.h Handle indexed defparams. 2008-06-28 09:30:09 -07:00
set_width.cc Pass unsigned-ness of arithmetic operators through operands. 2009-03-17 16:04:09 -07:00
svector.h Parse contribution statements as far as pform. 2008-07-27 17:22:19 -04:00
swift.txt Spelling fixes. 2003-07-15 03:49:22 +00:00
symbol_search.cc Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
syn-rules.y Fix for pr2123173. 2008-12-29 16:09:33 -08:00
sync.cc Infrastructure for elaborating analog statements. 2008-10-21 22:15:49 -07:00
synth.cc Fix for pr2123173. 2008-12-29 16:09:33 -08:00
synth2.cc Fix for pr2123173. 2008-12-29 16:09:33 -08:00
sys_funcs.cc Some compiler cleanup and minor memory leak fixes. 2009-06-23 09:14:03 -07:00
t-dll-analog.cc Bring analog contribution statements to the ivl_target API. 2008-11-06 21:31:34 -08:00
t-dll-api.cc Convert IVL_LPM_CONCAT to use ivl_lpm_size() instead of ivl_lpm_selects() 2009-09-03 17:52:35 -07:00
t-dll-expr.cc Add file/line information to named events and better expr. error. 2009-09-13 08:34:59 -07:00
t-dll-proc.cc Add support for 64 bit delays in procedural non-blocking assignments. 2009-02-17 10:32:11 -08:00
t-dll.cc Warn that a signed part select may not work for signals < 32 bits. 2009-09-24 13:44:22 -07:00
t-dll.h Add compiler support for `celldefine, vpiCellInstance 2009-05-24 10:21:37 -07:00
t-dll.txt Spelling fixes. 2003-01-30 16:23:07 +00:00
target.cc Bring branches forward as far as the emit method and target_t. 2008-11-09 17:11:04 -08:00
target.h Bring branches forward as far as the emit method and target_t. 2008-11-09 17:11:04 -08:00
util.h Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
va_math.txt We are deprecating the $log function in favor of $log10. 2009-03-27 06:32:46 -07:00
verilog.spec Better portability for rpm install section. 2009-09-23 15:56:16 -07:00
verinum.cc Detect overflows converting to long 2009-03-13 15:17:51 -07:00
verinum.h Add $clog2 function. 2008-08-20 08:59:36 -07:00
verireal.cc Show verireal constants with a decimal point. 2009-04-03 06:51:32 -07:00
verireal.h Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
veriuser.h Remove most of the lingering CVS droppings. 2008-12-05 21:48:28 -08:00
version_base.in Get ready for the 0.9 first release. 2009-03-19 10:17:12 -07:00
vpi.txt Document VPI_TRACE tracing. 2003-03-14 05:35:16 +00:00
vpi_user.h Add basic support for events and cleanup VCD dumper. 2009-07-06 15:06:02 -07:00
xilinx-hint.txt Spelling fixes. 2003-01-30 16:23:07 +00:00

README.txt

		THE ICARUS VERILOG COMPILATION SYSTEM
		Copyright 2000-2004 Stephen Williams


1.0 What is ICARUS Verilog?

Icarus Verilog is intended to compile ALL of the Verilog HDL as
described in the IEEE-1364 standard. Of course, it's not quite there
yet. It does currently handle a mix of structural and behavioral
constructs. For a view of the current state of Icarus Verilog, see its
home page at <http://www.icarus.com/eda/verilog>.

Icarus Verilog is not aimed at being a simulator in the traditional
sense, but a compiler that generates code employed by back-end
tools.

    For instructions on how to run Icarus Verilog,
    see the ``iverilog'' man page.


2.0 Building/Installing Icarus Verilog From Source

If you are starting from source, the build process is designed to be
as simple as practical. Someone basically familiar with the target
system and C/C++ compilation should be able to build the source
distribution with little effort. Some actual programming skills are
not required, but helpful in case of problems.

If you are building for Windows, see the mingw.txt file.

2.1 Compile Time Prerequisites

You need the following software to compile Icarus Verilog from source
on a UNIX-like system:

	- GNU Make
	  The Makefiles use some GNU extensions, so a basic POSIX
	  make will not work. Linux systems typically come with a
	  satisfactory make. BSD based systems (i.e., NetBSD, FreeBSD)
	  typically have GNU make as the gmake program.

	- ISO C++ Compiler
	  The ivl and ivlpp programs are written in C++ and make use
	  of templates and some of the standard C++ library. egcs and
	  recent gcc compilers with the associated libstdc++ are known
	  to work. MSVC++ 5 and 6 are known to definitely *not* work.

	- bison and flex

	- gperf 2.7
	  The lexical analyzer doesn't recognize keywords directly,
	  but instead matches symbols and looks them up in a hash
	  table in order to get the proper lexical code. The gperf
	  program generates the lookup table.

	  A version problem with this program is the most common cause
	  of difficulty. See the Icarus Verilog FAQ.

	- readline 4.2
	  On Linux systems, this usually means the readline-devel
	  rpm. In any case, it is the development headers of readline
	  that are needed.

	- termcap
	  The readline library in turn uses termcap.

If you are building from CVS, you will also need software to generate
the configure scripts.

	- autoconf 2.53
	  This generates configure scripts from configure.in. The 2.53
	  or later versions are known to work, autoconf 2.13 is
	  reported to *not* work.

2.2 Compilation

Unpack the tar-ball and cd into the verilog-######### directory
(presumably that is how you got to this README) and compile the source
with the commands:

  ./configure
  make

Normally, this command automatically figures out everything it needs
to know. It generally works pretty well. There are a few flags to the
configure script that modify its behavior:

	--prefix=<root>
	    The default is /usr/local, which causes the tool suite to
	    be compiled for install in /usr/local/bin,
	    /usr/local/share/ivl, etc.

	    I recommend that if you are configuring for precompiled
	    binaries, use --prefix=/usr.  On Solaris systems, it is
	    common to use --prefix=/opt.  You can configure for a non-root
	    install with --prefix=$HOME.

	--enable-suffix
	--enable-suffix=<your-suffix>
	--disable-suffix
	    Enable/disable changing the names of install files to use
	    a suffix string so that this version or install can co-
	    exist with other versions. This renames the installed
	    commands (iverilog, iverilog-vpi, vvp) and the installed
	    library files and include directory so that installations
	    with the same prefix but different suffix are guaranteed
	    to not interfere with each other.

2.3 (Optional) Testing

To run a simple test before installation, execute

  make check

The commands printed by this run might help you in running Icarus
Verilog on your own Verilog sources before the package is installed
by root.

2.4 Installation

Now install the files in an appropriate place. (The makefiles by
default install in /usr/local unless you specify a different prefix
with the --prefix=<path> flag to the configure command.) You may need
to do this as root to gain access to installation directories.

  make install

2.5 Uninstallation

The generated Makefiles also include the uninstall target. This should
remove all the files that ``make install'' creates.

3.0 How Icarus Verilog Works

This tool includes a parser which reads in Verilog (plus extensions)
and generates an internal netlist. The netlist is passed to various
processing steps that transform the design to more optimal/practical
forms, then is passed to a code generator for final output. The
processing steps and the code generator are selected by command line
switches.

3.1 Preprocessing

There is a separate program, ivlpp, that does the preprocessing. This
program implements the `include and `define directives producing
output that is equivalent but without the directives. The output is a
single file with line number directives, so that the actual compiler
only sees a single input file. See ivlpp/ivlpp.txt for details.

3.2 Parse

The Verilog compiler starts by parsing the Verilog source file. The
output of the parse is a list of Module objects in "pform". The pform
(see pform.h) is mostly a direct reflection of the compilation
step. There may be dangling references, and it is not yet clear which
module is the root.

One can see a human readable version of the final pform by using the
``-P <path>'' flag to the compiler. This will cause iverilog to dump
the pform into the file named <path>.

3.3 Elaboration

This phase takes the pform and generates a netlist. The driver selects
(by user request or lucky guess) the root module to elaborate,
resolves references and expands the instantiations to form the design
netlist. (See netlist.txt.) Final semantic checks are performed during
elaboration, and some simple optimizations are performed. The netlist
includes all the behavioral descriptions, as well as gates and wires.

The elaborate() function performs the elaboration.

One can see a human readable version of the final, elaborated and
optimized netlist by using the ``-N <path>'' flag to the compiler. If
elaboration succeeds, the final netlist (i.e., after optimizations but
before code generation) will be dumped into the file named <path>.

Elaboration is actually performed in two steps: scopes and parameters
first, followed by the structural and behavioral elaboration.

3.3.1 Scope Elaboration

This pass scans through the pform looking for scopes and parameters. A
tree of NetScope objects is built up and placed in the Design object,
with the root module represented by the root NetScope object. The
elab_scope.cc and elab_pexpr.cc files contain most of the code for
handling this phase.

The tail of the elaborate_scope behavior (after the pform is
traversed) includes a scan of the NetScope tree to locate defparam
assignments that were collected during scope elaboration. This is when
the defparam overrides are applied to the parameters.

3.3.2 Netlist Elaboration

After the scopes and parameters are generated and the NetScope tree
fully formed, the elaboration runs through the pform again, this time
generating the structural and behavioral netlist. Parameters are
elaborated and evaluated by now so all the constants of code
generation are now known locally, so the netlist can be generated by
simply passing through the pform.

3.4 Optimization

This is actually a collection of processing steps that perform
optimizations that do not depend on the target technology. Examples of
some useful transformations are

	- eliminate null effect circuitry
	- combinational reduction
	- constant propagation

The actual functions performed are specified on the ivl command line by
the -F flags (see below).

3.5 Code Generation

This step takes the design netlist and uses it to drive the code
generator (see target.h). This may require transforming the
design to suit the technology.

The emit() method of the Design class performs this step. It runs
through the design elements, calling target functions as need arises
to generate actual output.

The user selects the target code generator with the -t flag on the
command line.

3.6 ATTRIBUTES

    NOTE: The $attribute syntax will soon be deprecated in favor of the
    Verilog-2001 attribute syntax, which is cleaner and standardized.

The parser accepts, as an extension to Verilog, the $attribute module
item. The syntax of the $attribute item is:

	$attribute (<identifier>, <key>, <value>);

The $attribute keyword looks like a system task invocation. The
difference here is that the parameters are more restricted then those
of a system task. The <identifier> must be an identifier. This will be
the item to get an attribute. The <key> and <value> are strings, not
expressions, that give the key and the value of the attribute to be
attached to the identified object.

Attributes are [<key> <value>] pairs and are used to communicate with
the various processing steps. See the documentation for the processing
step for a list of the pertinent attributes.

Attributes can also be applied to gate types. When this is done, the
attribute is given to every instantiation of the primitive. The syntax
for the attribute statement is the same, except that the <identifier>
names a primitive earlier in the compilation unit and the statement is
placed in global scope, instead of within a module. The semicolon is
not part of a type attribute.

Note that attributes are also occasionally used for communication
between processing steps. Processing steps that are aware of others
may place attributes on netlist objects to communicate information to
later steps.

Icarus Verilog also accepts the Verilog 2001 syntax for
attributes. They have the same general meaning as with the $attribute
syntax, but they are attached to objects by position instead of by
name. Also, the key is a Verilog identifier instead of a string.

4.0 Running iverilog

The preferred way to invoke the compiler is with the iverilog(1)
command. This program invokes the preprocessor (ivlpp) and the
compiler (ivl) with the proper command line options to get the job
done in a friendly way. See the iverilog(1) man page for usage details.


4.1 EXAMPLES

Example: Compiling "hello.vl"

------------------------ hello.vl ----------------------------
module main();

initial
  begin
    $display("Hi there");
    $finish ;
  end

endmodule

--------------------------------------------------------------

Ensure that "iverilog" is on your search path, and the vpi library
is available.

To compile the program:

  iverilog hello.vl

(The above presumes that /usr/local/include and /usr/local/lib are
part of the compiler search path, which is usually the case for gcc.)

To run the program:

  ./a.out

You can use the "-o" switch to name the output command to be generated
by the compiler. See the iverilog(1) man page.

5.0 Unsupported Constructs

Icarus Verilog is in development - as such it still only supports a
(growing) subset of Verilog.  Below is a description of some of the
currently unsupported Verilog features. This list is not exhaustive,
and does not account for errors in the compiler. See the Icarus
Verilog web page for the current state of support for Verilog, and in
particular, browse the bug report database for reported unsupported
constructs.

  - System functions are supported, but the return value is a little
    tricky. See SYSTEM FUNCTION TABLE FILES in the iverilog man page.

  - Specify blocks are parsed but ignored in general.

  - trireg is not supported. tri0 and tri1 are supported.

  - tran primitives, i.e. tran, tranif1, tranif0, rtran, rtranif1
    and rtranif0 are not supported.

  - Net delays, of the form "wire #N foo;" do not work. Delays in
    every other context do work properly, including the V2001 form
    "wire #5 foo = bar;"

  - Event controls inside non-blocking assignments are not supported.
    i.e.: a <= @(posedge clk) b;

  - Macro arguments are not supported. `define macros are supported,
    but they cannot take arguments.

5.1 Nonstandard Constructs or Behaviors

Icarus Verilog includes some features that are not part of the
IEEE1364 standard, but have well defined meaning, and also sometimes
gives nonstandard (but extended) meanings to some features of the
language that are defined. See the "extensions.txt" documentation for
more details.

    $is_signed(<expr>)
	This system function returns 1 if the expression contained is
	signed, or 0 otherwise. This is mostly of use for compiler
	regression tests.

    $sizeof(<expr>)
    $bits(<expr>)
	The $bits system function returns the size in bits of the
	expression that is its argument. The result of this
	function is undefined if the argument doesn't have a
	self-determined size.

	The $sizeof function is deprecated in favor of $bits, which is
	the same thing, but included in the SystemVerilog definition.

    $simtime
	The $simtime system function returns as a 64bit value the
	simulation time, unscaled by the time units of local
	scope. This is different from the $time and $stime functions
	which return the scaled times. This function is added for
	regression testing of the compiler and run time, but can be
	used by applications who really want the simulation time.

	Note that the simulation time can be confusing if there are
	lots of different `timescales within a design. It is not in
	general possible to predict what the simulation precision will
	turn out to be.

    $mti_random()
    $mti_dist_uniform
	These functions are similar to the IEEE1364 standard $random
	functions, but they use the Mersenne Twister (MT19937)
	algorithm. This is considered an excellent random number
	generator, but does not generate the same sequence as the
	standardized $random.

    Builtin system functions

	Certain of the system functions have well defined meanings, so
	can theoretically be evaluated at compile time, instead of
	using runtime VPI code. Doing so means that VPI cannot
	override the definitions of functions handled in this
	manner. On the other hand, this makes them synthesizable, and
	also allows for more aggressive constant propagation. The
	functions handled in this manner are:

		$bits
		$signed
		$sizeof
		$unsigned

	Implementations of these system functions in VPI modules will
	be ignored.

    Preprocessing Library Modules

	Icarus Verilog does preprocess modules that are loaded from
	libraries via the -y mechanism. However, the only macros
	defined during compilation of that file are those that it
	defines itself (or includes) or that are defined on the
	command line or command file.

	Specifically, macros defined in the non-library source files
	are not remembered when the library module is loaded. This is
	intentional. If it were otherwise, then compilation results
	might vary depending on the order that libraries are loaded,
	and that is too unpredictable.

	It is said that some commercial compilers do allow macro
	definitions to span library modules. That's just plain weird.

    Width in %t Time Formats

	Standard Verilog does not allow width fields in the %t formats
	of display strings. For example, this is illegal:

		$display("Time is %0t", %time);

	Standard Verilog instead relies on the $timeformat to
	completely specify the format.

	Icarus Verilog allows the programmer to specify the field
	width. The "%t" format in Icarus Verilog works exactly as it
	does in standard Verilog. However, if the programmer chooses
	to specify a minimum width (i.e., "%5t"), then for that display
	Icarus Verilog will override the $timeformat minimum width and
	use the explicit minimum width.

    vpiScope iterator on vpiScope objects.

	In the VPI, the normal way to iterate over vpiScope objects
	contained within a vpiScope object, is the vpiInternalScope
	iterator. Icarus Verilog adds support for the vpiScope
	iterator of a vpiScope object, that iterates over *everything*
	the is contained in the current scope. This is useful in cases
	where one wants to iterate over all the objects in a scope
	without iterating over all the contained types explicitly.

    time 0 race resolution.

	Combinational logic is routinely modeled using always
	blocks. However, this can lead to race conditions if the
	inputs to the combinational block are initialized in initial
	statements. Icarus Verilog slightly modifies time 0 scheduling
	by arranging for always statements with ANYEDGE sensitivity
	lists to be scheduled before any other threads. This causes
	combinational always blocks to be triggered when the values in
	the sensitivity list are initialized by initial threads.

    Nets with Types

	Icarus Verilog support an extension syntax that allows nets
	and regs to be explicitly typed. The currently supported types
	are logic, bool and real. This implies that "logic" and "bool"
	are new keywords. Typical syntax is:

	wire real foo = 1.0;
	reg logic bar, bat;

	... and so forth. The syntax can be turned off by using the
	-g2 flag to iverilog, and turned on explicitly with the -g2x
	flag to iverilog.

6.0 CREDITS

Except where otherwise noted, Icarus Verilog, ivl and ivlpp are
Copyright Stephen Williams. The proper notices are in the head of each
file. However, I have early on received aid in the form of fixes,
Verilog guidance, and especially testing from many people. Testers in
particular include a larger community of people interested in a GPL
Verilog for Linux.