1998-11-04 00:28:49 +01:00
|
|
|
/*
|
2004-02-20 19:53:33 +01:00
|
|
|
* Copyright (c) 1998-2004 Stephen Williams (steve@icarus.com)
|
1998-11-04 00:28:49 +01:00
|
|
|
*
|
|
|
|
|
* This source code is free software; you can redistribute it
|
|
|
|
|
* and/or modify it in source code form under the terms of the GNU
|
|
|
|
|
* General Public License as published by the Free Software
|
|
|
|
|
* Foundation; either version 2 of the License, or (at your option)
|
|
|
|
|
* any later version.
|
|
|
|
|
*
|
|
|
|
|
* This program is distributed in the hope that it will be useful,
|
|
|
|
|
* but WITHOUT ANY WARRANTY; without even the implied warranty of
|
|
|
|
|
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
|
|
|
|
|
* GNU General Public License for more details.
|
|
|
|
|
*
|
|
|
|
|
* You should have received a copy of the GNU General Public License
|
|
|
|
|
* along with this program; if not, write to the Free Software
|
|
|
|
|
* Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
|
|
|
|
|
*/
|
2002-08-12 03:34:58 +02:00
|
|
|
#ifdef HAVE_CVS_IDENT
|
2006-07-10 02:21:49 +02:00
|
|
|
#ident "$Id: pform_dump.cc,v 1.88.2.1 2006/07/10 00:21:54 steve Exp $"
|
1998-11-04 00:28:49 +01:00
|
|
|
#endif
|
|
|
|
|
|
2001-07-25 05:10:48 +02:00
|
|
|
# include "config.h"
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
/*
|
|
|
|
|
* This file provides the pform_dump function, that dumps the module
|
|
|
|
|
* passed as a parameter. The dump is as much as possible in Verilog
|
|
|
|
|
* syntax, so that a human can tell that it really does describe the
|
|
|
|
|
* module in question.
|
|
|
|
|
*/
|
|
|
|
|
# include "pform.h"
|
2003-01-26 22:15:58 +01:00
|
|
|
# include "PData.h"
|
2000-04-01 21:31:57 +02:00
|
|
|
# include "PEvent.h"
|
1998-11-04 00:28:49 +01:00
|
|
|
# include <iostream>
|
|
|
|
|
# include <iomanip>
|
|
|
|
|
# include <typeinfo>
|
|
|
|
|
|
|
|
|
|
ostream& operator << (ostream&out, const PExpr&obj)
|
|
|
|
|
{
|
|
|
|
|
obj.dump(out);
|
|
|
|
|
return out;
|
|
|
|
|
}
|
|
|
|
|
|
1999-09-04 21:11:45 +02:00
|
|
|
ostream& operator << (ostream&o, const PDelays&d)
|
|
|
|
|
{
|
|
|
|
|
d.dump_delays(o);
|
|
|
|
|
return o;
|
|
|
|
|
}
|
|
|
|
|
|
2000-05-06 17:41:56 +02:00
|
|
|
ostream& operator<< (ostream&o, PGate::strength_t str)
|
|
|
|
|
{
|
|
|
|
|
switch (str) {
|
|
|
|
|
case PGate::HIGHZ:
|
|
|
|
|
o << "highz";
|
|
|
|
|
break;
|
|
|
|
|
case PGate::WEAK:
|
|
|
|
|
o << "weak";
|
|
|
|
|
break;
|
|
|
|
|
case PGate::PULL:
|
|
|
|
|
o << "pull";
|
|
|
|
|
break;
|
|
|
|
|
case PGate::STRONG:
|
|
|
|
|
o << "strong";
|
|
|
|
|
break;
|
|
|
|
|
case PGate::SUPPLY:
|
|
|
|
|
o << "supply";
|
|
|
|
|
break;
|
|
|
|
|
default:
|
|
|
|
|
assert(0);
|
|
|
|
|
}
|
|
|
|
|
return o;
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
void PExpr::dump(ostream&out) const
|
|
|
|
|
{
|
|
|
|
|
out << typeid(*this).name();
|
|
|
|
|
}
|
|
|
|
|
|
1999-05-10 02:16:57 +02:00
|
|
|
void PEConcat::dump(ostream&out) const
|
|
|
|
|
{
|
1999-06-10 06:03:52 +02:00
|
|
|
if (repeat_)
|
|
|
|
|
out << "{" << *repeat_;
|
|
|
|
|
|
1999-05-10 02:16:57 +02:00
|
|
|
if (parms_.count() == 0) {
|
|
|
|
|
out << "{}";
|
|
|
|
|
return;
|
|
|
|
|
}
|
|
|
|
|
|
2000-10-14 04:23:02 +02:00
|
|
|
out << "{";
|
|
|
|
|
if (parms_[0]) out << *parms_[0];
|
|
|
|
|
for (unsigned idx = 1 ; idx < parms_.count() ; idx += 1) {
|
|
|
|
|
out << ", ";
|
|
|
|
|
if (parms_[idx]) out << *parms_[idx];
|
|
|
|
|
}
|
1999-05-10 02:16:57 +02:00
|
|
|
|
|
|
|
|
out << "}";
|
1999-06-10 06:03:52 +02:00
|
|
|
|
|
|
|
|
if (repeat_) out << "}";
|
1999-05-10 02:16:57 +02:00
|
|
|
}
|
|
|
|
|
|
1999-07-31 21:14:47 +02:00
|
|
|
void PECallFunction::dump(ostream &out) const
|
|
|
|
|
{
|
2001-12-03 05:47:14 +01:00
|
|
|
out << path_ << "(";
|
2000-05-04 05:37:58 +02:00
|
|
|
|
|
|
|
|
if (parms_.count() > 0) {
|
2000-10-14 04:23:02 +02:00
|
|
|
if (parms_[0]) parms_[0]->dump(out);
|
2000-05-04 05:37:58 +02:00
|
|
|
for (unsigned idx = 1; idx < parms_.count(); ++idx) {
|
|
|
|
|
out << ", ";
|
2000-10-14 04:23:02 +02:00
|
|
|
if (parms_[idx]) parms_[idx]->dump(out);
|
2000-05-04 05:37:58 +02:00
|
|
|
}
|
1999-07-31 21:14:47 +02:00
|
|
|
}
|
|
|
|
|
out << ")";
|
|
|
|
|
}
|
|
|
|
|
|
1999-04-29 04:16:26 +02:00
|
|
|
void PEEvent::dump(ostream&out) const
|
|
|
|
|
{
|
2000-04-12 06:23:57 +02:00
|
|
|
switch (type_) {
|
2000-04-12 22:02:52 +02:00
|
|
|
case PEEvent::ANYEDGE:
|
2000-04-12 06:23:57 +02:00
|
|
|
break;
|
2000-04-12 22:02:52 +02:00
|
|
|
case PEEvent::POSEDGE:
|
2000-04-12 06:23:57 +02:00
|
|
|
out << "posedge ";
|
|
|
|
|
break;
|
2000-04-12 22:02:52 +02:00
|
|
|
case PEEvent::NEGEDGE:
|
2000-04-12 06:23:57 +02:00
|
|
|
out << "negedge ";
|
|
|
|
|
break;
|
2000-04-12 22:02:52 +02:00
|
|
|
case PEEvent::POSITIVE:
|
2000-04-12 06:23:57 +02:00
|
|
|
out << "positive ";
|
|
|
|
|
break;
|
1999-04-29 04:16:26 +02:00
|
|
|
}
|
2000-04-12 06:23:57 +02:00
|
|
|
out << *expr_;
|
|
|
|
|
|
1999-04-29 04:16:26 +02:00
|
|
|
}
|
|
|
|
|
|
2000-12-10 23:01:35 +01:00
|
|
|
void PEFNumber::dump(ostream &out) const
|
|
|
|
|
{
|
|
|
|
|
out << value();
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
void PENumber::dump(ostream&out) const
|
|
|
|
|
{
|
|
|
|
|
out << value();
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void PEIdent::dump(ostream&out) const
|
|
|
|
|
{
|
2001-12-03 05:47:14 +01:00
|
|
|
out << path_;
|
1998-11-04 00:28:49 +01:00
|
|
|
if (msb_) {
|
|
|
|
|
out << "[" << *msb_;
|
|
|
|
|
if (lsb_) {
|
|
|
|
|
out << ":" << *lsb_;
|
|
|
|
|
}
|
|
|
|
|
out << "]";
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void PEString::dump(ostream&out) const
|
|
|
|
|
{
|
|
|
|
|
out << "\"" << text_ << "\"";
|
|
|
|
|
}
|
|
|
|
|
|
1999-07-17 21:50:59 +02:00
|
|
|
void PETernary::dump(ostream&out) const
|
|
|
|
|
{
|
|
|
|
|
out << "(" << *expr_ << ")?(" << *tru_ << "):(" << *fal_ << ")";
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
void PEUnary::dump(ostream&out) const
|
|
|
|
|
{
|
|
|
|
|
out << op_ << "(" << *expr_ << ")";
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void PEBinary::dump(ostream&out) const
|
|
|
|
|
{
|
1998-11-07 18:05:05 +01:00
|
|
|
out << "(" << *left_ << ")";
|
|
|
|
|
switch (op_) {
|
1999-10-10 03:59:54 +02:00
|
|
|
case 'a':
|
|
|
|
|
out << "&&";
|
|
|
|
|
break;
|
1998-11-07 18:05:05 +01:00
|
|
|
case 'e':
|
|
|
|
|
out << "==";
|
|
|
|
|
break;
|
|
|
|
|
case 'E':
|
|
|
|
|
out << "===";
|
|
|
|
|
break;
|
1999-05-29 04:36:17 +02:00
|
|
|
case 'l':
|
|
|
|
|
out << "<<";
|
|
|
|
|
break;
|
1998-11-07 18:05:05 +01:00
|
|
|
case 'n':
|
|
|
|
|
out << "!=";
|
|
|
|
|
break;
|
|
|
|
|
case 'N':
|
|
|
|
|
out << "!==";
|
|
|
|
|
break;
|
1999-05-29 04:36:17 +02:00
|
|
|
case 'r':
|
|
|
|
|
out << ">>";
|
|
|
|
|
break;
|
1998-11-07 18:05:05 +01:00
|
|
|
default:
|
|
|
|
|
out << op_;
|
|
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
out << "(" << *right_ << ")";
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
void PWire::dump(ostream&out) const
|
|
|
|
|
{
|
1999-06-17 07:34:42 +02:00
|
|
|
out << " " << type_;
|
1998-11-04 00:28:49 +01:00
|
|
|
|
1999-06-17 07:34:42 +02:00
|
|
|
switch (port_type_) {
|
1998-11-04 00:28:49 +01:00
|
|
|
case NetNet::PIMPLICIT:
|
1999-02-01 01:26:48 +01:00
|
|
|
out << " (implicit input)";
|
1998-11-04 00:28:49 +01:00
|
|
|
break;
|
|
|
|
|
case NetNet::PINPUT:
|
1999-02-01 01:26:48 +01:00
|
|
|
out << " (input)";
|
1998-11-04 00:28:49 +01:00
|
|
|
break;
|
|
|
|
|
case NetNet::POUTPUT:
|
1999-02-01 01:26:48 +01:00
|
|
|
out << " (output)";
|
1998-11-04 00:28:49 +01:00
|
|
|
break;
|
|
|
|
|
case NetNet::PINOUT:
|
1999-02-01 01:26:48 +01:00
|
|
|
out << " (input output)";
|
1998-11-04 00:28:49 +01:00
|
|
|
break;
|
|
|
|
|
case NetNet::NOT_A_PORT:
|
|
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
|
2000-12-11 01:31:43 +01:00
|
|
|
if (signed_) {
|
|
|
|
|
out << " signed";
|
|
|
|
|
}
|
|
|
|
|
|
2000-05-16 06:05:15 +02:00
|
|
|
assert(msb_.count() == lsb_.count());
|
1999-06-17 07:34:42 +02:00
|
|
|
for (unsigned idx = 0 ; idx < msb_.count() ; idx += 1) {
|
2002-01-26 06:28:28 +01:00
|
|
|
|
|
|
|
|
if (msb_[idx] == 0) {
|
|
|
|
|
assert(lsb_[idx] == 0);
|
|
|
|
|
out << " <scalar>";
|
|
|
|
|
|
|
|
|
|
} else {
|
|
|
|
|
if (lsb_[idx])
|
|
|
|
|
out << " [" << *msb_[idx] << ":" << *lsb_[idx] << "]";
|
|
|
|
|
else
|
|
|
|
|
out << " [" << *msb_[idx] << "]";
|
|
|
|
|
}
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
2001-12-03 05:47:14 +01:00
|
|
|
out << " " << hname_;
|
1999-04-19 03:59:36 +02:00
|
|
|
|
|
|
|
|
// If the wire has indices, dump them.
|
1999-06-17 07:34:42 +02:00
|
|
|
if (lidx_ || ridx_) {
|
1999-04-19 03:59:36 +02:00
|
|
|
out << "[";
|
1999-06-17 07:34:42 +02:00
|
|
|
if (lidx_) out << *lidx_;
|
|
|
|
|
if (ridx_) out << ":" << *ridx_;
|
1999-04-19 03:59:36 +02:00
|
|
|
out << "]";
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
out << ";" << endl;
|
2004-02-20 19:53:33 +01:00
|
|
|
for (map<perm_string,PExpr*>::const_iterator idx = attributes.begin()
|
1998-11-23 01:20:22 +01:00
|
|
|
; idx != attributes.end()
|
|
|
|
|
; idx ++) {
|
2002-05-24 06:36:23 +02:00
|
|
|
out << " " << (*idx).first;
|
|
|
|
|
if ((*idx).second)
|
|
|
|
|
out << " = " << *(*idx).second;
|
|
|
|
|
out << endl;
|
1998-11-23 01:20:22 +01:00
|
|
|
}
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void PGate::dump_pins(ostream&out) const
|
|
|
|
|
{
|
|
|
|
|
if (pin_count()) {
|
2000-05-23 18:03:13 +02:00
|
|
|
if (pin(0)) out << *pin(0);
|
2004-10-04 03:10:51 +02:00
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
for (unsigned idx = 1 ; idx < pin_count() ; idx += 1) {
|
1998-11-09 19:55:33 +01:00
|
|
|
out << ", ";
|
|
|
|
|
if (pin(idx)) out << *pin(idx);
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
1999-09-04 21:11:45 +02:00
|
|
|
void PDelays::dump_delays(ostream&out) const
|
1999-08-01 18:34:50 +02:00
|
|
|
{
|
|
|
|
|
if (delay_[0] && delay_[1] && delay_[2])
|
|
|
|
|
out << "#(" << *delay_[0] << "," << *delay_[1] << "," <<
|
|
|
|
|
*delay_[2] << ")";
|
|
|
|
|
else if (delay_[0])
|
|
|
|
|
out << "#" << *delay_[0];
|
|
|
|
|
else
|
|
|
|
|
out << "#0";
|
|
|
|
|
|
|
|
|
|
}
|
|
|
|
|
|
1999-09-04 21:11:45 +02:00
|
|
|
void PGate::dump_delays(ostream&out) const
|
|
|
|
|
{
|
|
|
|
|
delay_.dump_delays(out);
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
void PGate::dump(ostream&out) const
|
|
|
|
|
{
|
1999-08-01 18:34:50 +02:00
|
|
|
out << " " << typeid(*this).name() << " ";
|
1999-09-04 21:11:45 +02:00
|
|
|
delay_.dump_delays(out);
|
1999-08-01 18:34:50 +02:00
|
|
|
out << " " << get_name() << "(";
|
1998-11-04 00:28:49 +01:00
|
|
|
dump_pins(out);
|
|
|
|
|
out << ");" << endl;
|
|
|
|
|
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void PGAssign::dump(ostream&out) const
|
|
|
|
|
{
|
2000-05-06 17:41:56 +02:00
|
|
|
out << " assign (" << strength0() << "0 " << strength1() << "1) ";
|
1999-08-01 18:34:50 +02:00
|
|
|
dump_delays(out);
|
|
|
|
|
out << " " << *pin(0) << " = " << *pin(1) << ";" << endl;
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void PGBuiltin::dump(ostream&out) const
|
|
|
|
|
{
|
|
|
|
|
switch (type()) {
|
1999-02-15 03:06:15 +01:00
|
|
|
case PGBuiltin::BUFIF0:
|
1999-08-01 18:34:50 +02:00
|
|
|
out << " bufif0 ";
|
1999-02-15 03:06:15 +01:00
|
|
|
break;
|
|
|
|
|
case PGBuiltin::BUFIF1:
|
1999-08-01 18:34:50 +02:00
|
|
|
out << " bufif1 ";
|
1999-02-15 03:06:15 +01:00
|
|
|
break;
|
2000-11-11 02:52:09 +01:00
|
|
|
case PGBuiltin::NOTIF0:
|
|
|
|
|
out << " bufif0 ";
|
|
|
|
|
break;
|
|
|
|
|
case PGBuiltin::NOTIF1:
|
|
|
|
|
out << " bufif1 ";
|
|
|
|
|
break;
|
1998-11-04 00:28:49 +01:00
|
|
|
case PGBuiltin::NAND:
|
1999-08-01 18:34:50 +02:00
|
|
|
out << " nand ";
|
1998-11-04 00:28:49 +01:00
|
|
|
break;
|
2000-11-11 02:52:09 +01:00
|
|
|
case PGBuiltin::NMOS:
|
|
|
|
|
out << " nmos ";
|
|
|
|
|
break;
|
|
|
|
|
case PGBuiltin::RNMOS:
|
|
|
|
|
out << " rnmos ";
|
|
|
|
|
break;
|
|
|
|
|
case PGBuiltin::RPMOS:
|
|
|
|
|
out << " rpmos ";
|
|
|
|
|
break;
|
|
|
|
|
case PGBuiltin::PMOS:
|
|
|
|
|
out << " pmos ";
|
|
|
|
|
break;
|
1998-11-04 00:28:49 +01:00
|
|
|
default:
|
1999-08-01 18:34:50 +02:00
|
|
|
out << " builtin gate ";
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
2000-05-06 17:41:56 +02:00
|
|
|
out << "(" << strength0() << "0 " << strength1() << "1) ";
|
1999-08-01 18:34:50 +02:00
|
|
|
dump_delays(out);
|
|
|
|
|
out << " " << get_name();
|
1999-02-15 03:06:15 +01:00
|
|
|
|
|
|
|
|
if (msb_) {
|
|
|
|
|
out << " [" << *msb_ << ":" << *lsb_ << "]";
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
out << "(";
|
1998-11-04 00:28:49 +01:00
|
|
|
dump_pins(out);
|
|
|
|
|
out << ");" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void PGModule::dump(ostream&out) const
|
|
|
|
|
{
|
1999-08-23 18:48:39 +02:00
|
|
|
out << " " << type_ << " ";
|
2000-01-09 06:50:48 +01:00
|
|
|
|
|
|
|
|
// If parameters are overridden by order, dump them.
|
2007-12-19 23:12:34 +01:00
|
|
|
if (overrides_ && overrides_->count() > 0) {
|
2000-01-09 06:50:48 +01:00
|
|
|
assert(parms_ == 0);
|
1999-08-23 18:48:39 +02:00
|
|
|
out << "#(";
|
2007-12-19 23:12:34 +01:00
|
|
|
|
|
|
|
|
if ((*overrides_)[0] == 0)
|
|
|
|
|
out << "<nil>";
|
|
|
|
|
else
|
|
|
|
|
out << *((*overrides_)[0]);
|
1999-08-23 18:48:39 +02:00
|
|
|
for (unsigned idx = 1 ; idx < overrides_->count() ; idx += 1) {
|
|
|
|
|
out << "," << *((*overrides_)[idx]);
|
|
|
|
|
}
|
|
|
|
|
out << ") ";
|
|
|
|
|
}
|
2000-01-09 06:50:48 +01:00
|
|
|
|
|
|
|
|
// If parameters are overridden by name, dump them.
|
|
|
|
|
if (parms_) {
|
|
|
|
|
assert(overrides_ == 0);
|
|
|
|
|
out << "#(";
|
|
|
|
|
out << "." << parms_[0].name << "(" << *parms_[0].parm << ")";
|
|
|
|
|
for (unsigned idx = 1 ; idx < nparms_ ; idx += 1) {
|
|
|
|
|
out << ", ." << parms_[idx].name << "(" <<
|
|
|
|
|
*parms_[idx].parm << ")";
|
|
|
|
|
}
|
|
|
|
|
out << ") ";
|
|
|
|
|
}
|
|
|
|
|
|
2000-02-18 06:15:02 +01:00
|
|
|
out << get_name();
|
|
|
|
|
|
|
|
|
|
// If the module is arrayed, print the index expressions.
|
|
|
|
|
if (msb_ || lsb_) {
|
|
|
|
|
out << "[";
|
|
|
|
|
if (msb_) out << *msb_;
|
|
|
|
|
out << ":";
|
|
|
|
|
if (lsb_) out << *lsb_;
|
|
|
|
|
out << "]";
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
out << "(";
|
1999-05-29 04:36:17 +02:00
|
|
|
if (pins_) {
|
1999-09-29 22:23:53 +02:00
|
|
|
out << "." << pins_[0].name << "(";
|
|
|
|
|
if (pins_[0].parm) out << *pins_[0].parm;
|
|
|
|
|
out << ")";
|
1999-05-29 04:36:17 +02:00
|
|
|
for (unsigned idx = 1 ; idx < npins_ ; idx += 1) {
|
1999-09-29 23:15:58 +02:00
|
|
|
out << ", ." << pins_[idx].name << "(";
|
|
|
|
|
if (pins_[idx].parm)
|
|
|
|
|
out << *pins_[idx].parm;
|
|
|
|
|
out << ")";
|
1999-05-29 04:36:17 +02:00
|
|
|
}
|
|
|
|
|
} else {
|
|
|
|
|
dump_pins(out);
|
|
|
|
|
}
|
1998-11-04 00:28:49 +01:00
|
|
|
out << ");" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void Statement::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
/* I give up. I don't know what type this statement is,
|
|
|
|
|
so just print the C++ typeid and let the user figure
|
|
|
|
|
it out. */
|
|
|
|
|
out << setw(ind) << "";
|
1999-02-01 01:26:48 +01:00
|
|
|
out << "/* " << get_line() << ": " << typeid(*this).name()
|
|
|
|
|
<< " */ ;" << endl;
|
2006-07-10 02:21:49 +02:00
|
|
|
dump_attributes(out, ind+2);
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void Statement::dump_attributes(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
for (map<perm_string,PExpr*>::const_iterator idx = attributes.begin()
|
|
|
|
|
; idx != attributes.end()
|
|
|
|
|
; idx ++) {
|
|
|
|
|
out << setw(ind) << "" << "(* " << (*idx).first;
|
|
|
|
|
if ((*idx).second)
|
|
|
|
|
out << " = " << *(*idx).second;
|
|
|
|
|
out << " *)" << endl;
|
|
|
|
|
}
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void PAssign::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
out << setw(ind) << "";
|
1999-09-04 21:11:45 +02:00
|
|
|
out << *lval() << " = " << delay_ << " " << *rval() << ";";
|
1999-02-01 01:26:48 +01:00
|
|
|
out << " /* " << get_line() << " */" << endl;
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
1999-06-06 22:45:38 +02:00
|
|
|
void PAssignNB::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
out << setw(ind) << "";
|
1999-09-04 21:11:45 +02:00
|
|
|
out << *lval() << " <= " << delay_ << " " << *rval() << ";";
|
1999-06-06 22:45:38 +02:00
|
|
|
out << " /* " << get_line() << " */" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
void PBlock::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
1999-06-24 06:24:18 +02:00
|
|
|
out << setw(ind) << "" << "begin";
|
2004-02-18 18:11:54 +01:00
|
|
|
if (name_ != 0)
|
1999-06-24 06:24:18 +02:00
|
|
|
out << " : " << name_;
|
|
|
|
|
out << endl;
|
1998-11-04 00:28:49 +01:00
|
|
|
|
1999-06-24 06:24:18 +02:00
|
|
|
for (unsigned idx = 0 ; idx < list_.count() ; idx += 1) {
|
1999-09-29 23:15:58 +02:00
|
|
|
if (list_[idx])
|
|
|
|
|
list_[idx]->dump(out, ind+2);
|
|
|
|
|
else
|
|
|
|
|
out << setw(ind+2) << "" << "/* NOOP */ ;" << endl;
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
out << setw(ind) << "" << "end" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void PCallTask::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
2001-12-03 05:47:14 +01:00
|
|
|
out << setw(ind) << "" << path_;
|
1998-11-04 00:28:49 +01:00
|
|
|
|
1999-05-10 02:16:57 +02:00
|
|
|
if (parms_.count() > 0) {
|
1998-11-04 00:28:49 +01:00
|
|
|
out << "(";
|
|
|
|
|
if (parms_[0])
|
|
|
|
|
out << *parms_[0];
|
|
|
|
|
|
1999-05-10 02:16:57 +02:00
|
|
|
for (unsigned idx = 1 ; idx < parms_.count() ; idx += 1) {
|
1998-11-04 00:28:49 +01:00
|
|
|
out << ", ";
|
|
|
|
|
if (parms_[idx])
|
|
|
|
|
out << *parms_[idx];
|
|
|
|
|
}
|
|
|
|
|
out << ")";
|
|
|
|
|
}
|
|
|
|
|
|
1999-07-03 04:12:51 +02:00
|
|
|
out << "; /* " << get_line() << " */" << endl;
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
1999-02-03 05:20:11 +01:00
|
|
|
void PCase::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
1999-09-29 20:36:02 +02:00
|
|
|
out << setw(ind) << "";
|
|
|
|
|
switch (type_) {
|
|
|
|
|
case NetCase::EQ:
|
|
|
|
|
out << "case";
|
|
|
|
|
break;
|
|
|
|
|
case NetCase::EQX:
|
|
|
|
|
out << "casex";
|
|
|
|
|
break;
|
|
|
|
|
case NetCase::EQZ:
|
|
|
|
|
out << "casez";
|
|
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
out << " (" << *expr_ << ") /* " << get_line() << " */" << endl;
|
1999-02-03 05:20:11 +01:00
|
|
|
|
2006-07-10 02:21:49 +02:00
|
|
|
dump_attributes(out, ind+2);
|
|
|
|
|
|
1999-06-06 22:45:38 +02:00
|
|
|
for (unsigned idx = 0 ; idx < items_->count() ; idx += 1) {
|
1999-06-15 07:38:39 +02:00
|
|
|
PCase::Item*cur = (*items_)[idx];
|
|
|
|
|
|
|
|
|
|
if (cur->expr.count() == 0) {
|
1999-02-03 05:20:11 +01:00
|
|
|
out << setw(ind+2) << "" << "default:";
|
|
|
|
|
|
1999-06-15 07:38:39 +02:00
|
|
|
} else {
|
|
|
|
|
out << setw(ind+2) << "" << *cur->expr[0];
|
2004-10-04 03:10:51 +02:00
|
|
|
|
1999-06-15 07:38:39 +02:00
|
|
|
for(unsigned e = 1 ; e < cur->expr.count() ; e += 1)
|
|
|
|
|
out << ", " << *cur->expr[e];
|
|
|
|
|
|
|
|
|
|
out << ":";
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (cur->stat) {
|
1999-02-03 05:20:11 +01:00
|
|
|
out << endl;
|
1999-06-15 07:38:39 +02:00
|
|
|
cur->stat->dump(out, ind+6);
|
1999-02-03 05:20:11 +01:00
|
|
|
} else {
|
|
|
|
|
out << " ;" << endl;
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
out << setw(ind) << "" << "endcase" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-07 18:05:05 +01:00
|
|
|
void PCondit::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
out << setw(ind) << "" << "if (" << *expr_ << ")" << endl;
|
1999-09-08 04:24:39 +02:00
|
|
|
if (if_)
|
|
|
|
|
if_->dump(out, ind+3);
|
|
|
|
|
else
|
|
|
|
|
out << setw(ind) << ";" << endl;
|
1998-11-07 18:05:05 +01:00
|
|
|
if (else_) {
|
|
|
|
|
out << setw(ind) << "" << "else" << endl;
|
|
|
|
|
else_->dump(out, ind+3);
|
|
|
|
|
}
|
|
|
|
|
}
|
1998-11-04 00:28:49 +01:00
|
|
|
|
2000-05-12 01:37:26 +02:00
|
|
|
void PCAssign::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
out << setw(ind) << "" << "assign " << *lval_ << " = " << *expr_
|
|
|
|
|
<< "; /* " << get_line() << " */" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void PDeassign::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
out << setw(ind) << "" << "deassign " << *lval_ << "; /* "
|
|
|
|
|
<< get_line() << " */" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
void PDelayStatement::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
1999-05-05 05:04:46 +02:00
|
|
|
out << setw(ind) << "" << "#" << *delay_ << " /* " <<
|
|
|
|
|
get_line() << " */";
|
|
|
|
|
if (statement_) {
|
|
|
|
|
out << endl;
|
|
|
|
|
statement_->dump(out, ind+2);
|
|
|
|
|
} else {
|
|
|
|
|
out << " /* noop */;" << endl;
|
|
|
|
|
}
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
2000-07-26 07:08:07 +02:00
|
|
|
void PDisable::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
out << setw(ind) << "" << "disable " << scope_ << "; /* "
|
|
|
|
|
<< get_line() << " */" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
void PEventStatement::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
2002-04-21 06:59:07 +02:00
|
|
|
if (expr_.count() == 0) {
|
|
|
|
|
out << setw(ind) << "" << "@* ";
|
1999-04-29 04:16:26 +02:00
|
|
|
|
2002-04-21 06:59:07 +02:00
|
|
|
} else {
|
|
|
|
|
out << setw(ind) << "" << "@(" << *(expr_[0]);
|
|
|
|
|
if (expr_.count() > 1)
|
|
|
|
|
for (unsigned idx = 1 ; idx < expr_.count() ; idx += 1)
|
|
|
|
|
out << " or " << *(expr_[idx]);
|
1999-04-29 04:16:26 +02:00
|
|
|
|
2002-04-21 06:59:07 +02:00
|
|
|
out << ")";
|
|
|
|
|
}
|
1998-11-04 00:28:49 +01:00
|
|
|
|
1999-02-01 01:26:48 +01:00
|
|
|
if (statement_) {
|
|
|
|
|
out << endl;
|
|
|
|
|
statement_->dump(out, ind+2);
|
|
|
|
|
} else {
|
|
|
|
|
out << " ;" << endl;
|
|
|
|
|
}
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
2000-04-22 06:20:19 +02:00
|
|
|
void PForce::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
out << setw(ind) << "" << "force " << *lval_ << " = " << *expr_
|
|
|
|
|
<< "; /* " << get_line() << " */" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
1999-06-19 23:06:16 +02:00
|
|
|
void PForever::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
out << setw(ind) << "" << "forever /* " << get_line() << " */" << endl;
|
|
|
|
|
statement_->dump(out, ind+3);
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-09 19:55:33 +01:00
|
|
|
void PForStatement::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
1999-06-06 22:45:38 +02:00
|
|
|
out << setw(ind) << "" << "for (" << *name1_ << " = " << *expr1_
|
|
|
|
|
<< "; " << *cond_ << "; " << *name2_ << " = " << *expr2_ <<
|
1998-11-09 19:55:33 +01:00
|
|
|
")" << endl;
|
|
|
|
|
statement_->dump(out, ind+3);
|
|
|
|
|
}
|
|
|
|
|
|
1999-07-31 21:14:47 +02:00
|
|
|
void PFunction::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
2004-06-01 01:34:36 +02:00
|
|
|
out << setw(ind) << "" << "function ";
|
|
|
|
|
switch (return_type_.type) {
|
|
|
|
|
case PTF_NONE:
|
|
|
|
|
out << "?none? ";
|
|
|
|
|
break;
|
|
|
|
|
case PTF_REG:
|
|
|
|
|
out << "reg ";
|
|
|
|
|
break;
|
|
|
|
|
case PTF_INTEGER:
|
|
|
|
|
out << "integer ";
|
|
|
|
|
break;
|
|
|
|
|
case PTF_REAL:
|
|
|
|
|
out << "real ";
|
|
|
|
|
break;
|
|
|
|
|
case PTF_REALTIME:
|
|
|
|
|
out << "realtime ";
|
|
|
|
|
break;
|
|
|
|
|
case PTF_TIME:
|
|
|
|
|
out << "time ";
|
|
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (return_type_.range) {
|
|
|
|
|
out << "[";
|
|
|
|
|
out << "] ";
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
out << name_ << ";" << endl;
|
|
|
|
|
|
2001-01-13 23:20:08 +01:00
|
|
|
if (ports_)
|
|
|
|
|
for (unsigned idx = 0 ; idx < ports_->count() ; idx += 1) {
|
|
|
|
|
out << setw(ind) << "";
|
|
|
|
|
out << "input ";
|
2001-12-03 05:47:14 +01:00
|
|
|
out << (*ports_)[idx]->path() << ";" << endl;
|
2001-01-13 23:20:08 +01:00
|
|
|
}
|
1999-07-31 21:14:47 +02:00
|
|
|
|
1999-09-30 02:48:49 +02:00
|
|
|
if (statement_)
|
|
|
|
|
statement_->dump(out, ind);
|
|
|
|
|
else
|
|
|
|
|
out << setw(ind) << "" << "/* NOOP */" << endl;
|
1999-07-31 21:14:47 +02:00
|
|
|
}
|
|
|
|
|
|
2000-04-22 06:20:19 +02:00
|
|
|
void PRelease::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
out << setw(ind) << "" << "release " << *lval_ << "; /* "
|
|
|
|
|
<< get_line() << " */" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
1999-06-19 23:06:16 +02:00
|
|
|
void PRepeat::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
out << setw(ind) << "" << "repeat (" << *expr_ << ")" << endl;
|
|
|
|
|
statement_->dump(out, ind+3);
|
|
|
|
|
}
|
|
|
|
|
|
1999-07-03 04:12:51 +02:00
|
|
|
void PTask::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
1999-07-30 02:43:17 +02:00
|
|
|
if (ports_)
|
|
|
|
|
for (unsigned idx = 0 ; idx < ports_->count() ; idx += 1) {
|
|
|
|
|
out << setw(ind) << "";
|
|
|
|
|
switch ((*ports_)[idx]->get_port_type()) {
|
|
|
|
|
case NetNet::PINPUT:
|
|
|
|
|
out << "input ";
|
|
|
|
|
break;
|
|
|
|
|
case NetNet::POUTPUT:
|
|
|
|
|
out << "output ";
|
|
|
|
|
break;
|
|
|
|
|
case NetNet::PINOUT:
|
|
|
|
|
out << "inout ";
|
|
|
|
|
break;
|
2003-07-05 22:42:08 +02:00
|
|
|
default:
|
|
|
|
|
assert(0);
|
|
|
|
|
break;
|
1999-07-30 02:43:17 +02:00
|
|
|
}
|
2001-12-03 05:47:14 +01:00
|
|
|
out << (*ports_)[idx]->path() << ";" << endl;
|
1999-07-24 04:11:19 +02:00
|
|
|
}
|
|
|
|
|
|
1999-09-30 04:43:01 +02:00
|
|
|
if (statement_)
|
|
|
|
|
statement_->dump(out, ind);
|
|
|
|
|
else
|
|
|
|
|
out << setw(ind) << "" << "/* NOOP */" << endl;
|
1999-07-03 04:12:51 +02:00
|
|
|
}
|
|
|
|
|
|
2000-04-01 21:31:57 +02:00
|
|
|
void PTrigger::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
out << setw(ind) << "" << "-> " << event_ << ";" << endl;
|
|
|
|
|
}
|
1999-07-03 04:12:51 +02:00
|
|
|
|
1998-11-11 04:13:04 +01:00
|
|
|
void PWhile::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
out << setw(ind) << "" << "while (" << *cond_ << ")" << endl;
|
|
|
|
|
statement_->dump(out, ind+3);
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
void PProcess::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
switch (type_) {
|
|
|
|
|
case PProcess::PR_INITIAL:
|
1999-02-01 01:26:48 +01:00
|
|
|
out << setw(ind) << "" << "initial";
|
1998-11-04 00:28:49 +01:00
|
|
|
break;
|
|
|
|
|
case PProcess::PR_ALWAYS:
|
1999-02-01 01:26:48 +01:00
|
|
|
out << setw(ind) << "" << "always";
|
1998-11-04 00:28:49 +01:00
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
|
1999-02-01 01:26:48 +01:00
|
|
|
out << " /* " << get_line() << " */" << endl;
|
|
|
|
|
|
2004-02-20 19:53:33 +01:00
|
|
|
for (map<perm_string,PExpr*>::const_iterator idx = attributes.begin()
|
2002-05-26 03:39:02 +02:00
|
|
|
; idx != attributes.end() ; idx++ ) {
|
|
|
|
|
|
|
|
|
|
out << setw(ind+2) << "" << "(* " << (*idx).first;
|
|
|
|
|
if ((*idx).second) {
|
|
|
|
|
out << " = " << *(*idx).second;
|
|
|
|
|
}
|
|
|
|
|
out << " *)" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
statement_->dump(out, ind+2);
|
|
|
|
|
}
|
|
|
|
|
|
1999-07-03 04:12:51 +02:00
|
|
|
void Module::dump(ostream&out) const
|
1998-11-04 00:28:49 +01:00
|
|
|
{
|
2003-06-20 02:53:19 +02:00
|
|
|
if (attributes.begin() != attributes.end()) {
|
|
|
|
|
out << "(* ";
|
2004-02-20 19:53:33 +01:00
|
|
|
for (map<perm_string,PExpr*>::const_iterator idx = attributes.begin()
|
2003-06-20 02:53:19 +02:00
|
|
|
; idx != attributes.end() ; idx++ ) {
|
|
|
|
|
if (idx != attributes.begin()) {
|
|
|
|
|
out << " , ";
|
|
|
|
|
}
|
|
|
|
|
out << (*idx).first;
|
|
|
|
|
if ((*idx).second) {
|
|
|
|
|
out << " = " << *(*idx).second;
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
out << " *) ";
|
|
|
|
|
}
|
|
|
|
|
|
1999-07-03 04:12:51 +02:00
|
|
|
out << "module " << name_ << ";" << endl;
|
1998-11-04 00:28:49 +01:00
|
|
|
|
2002-05-20 01:37:28 +02:00
|
|
|
for (unsigned idx = 0 ; idx < ports.count() ; idx += 1) {
|
|
|
|
|
port_t*cur = ports[idx];
|
1999-09-17 04:06:25 +02:00
|
|
|
|
|
|
|
|
if (cur == 0) {
|
|
|
|
|
out << " unconnected" << endl;
|
|
|
|
|
continue;
|
|
|
|
|
}
|
|
|
|
|
|
2000-05-16 06:05:15 +02:00
|
|
|
out << " ." << cur->name << "(" << *cur->expr[0];
|
|
|
|
|
for (unsigned wdx = 1 ; wdx < cur->expr.count() ; wdx += 1) {
|
|
|
|
|
out << ", " << *cur->expr[wdx];
|
1999-08-03 06:14:49 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
out << ")" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
2004-02-20 07:22:56 +01:00
|
|
|
typedef map<perm_string,param_expr_t>::const_iterator parm_iter_t;
|
2001-12-03 05:47:14 +01:00
|
|
|
typedef map<hname_t,PExpr*>::const_iterator parm_hiter_t;
|
1999-07-03 04:12:51 +02:00
|
|
|
for (parm_iter_t cur = parameters.begin()
|
|
|
|
|
; cur != parameters.end() ; cur ++) {
|
2002-08-19 04:39:16 +02:00
|
|
|
out << " parameter ";
|
2002-10-20 00:59:49 +02:00
|
|
|
if ((*cur).second.signed_flag)
|
|
|
|
|
out << "signed ";
|
2002-08-19 04:39:16 +02:00
|
|
|
if ((*cur).second.msb)
|
|
|
|
|
out << "[" << *(*cur).second.msb << ":"
|
|
|
|
|
<< *(*cur).second.lsb << "] ";
|
|
|
|
|
out << (*cur).first << " = ";
|
|
|
|
|
if ((*cur).second.expr)
|
|
|
|
|
out << *(*cur).second.expr << ";" << endl;
|
1999-09-30 04:43:01 +02:00
|
|
|
else
|
|
|
|
|
out << "/* ERROR */;" << endl;
|
1999-02-21 18:01:57 +01:00
|
|
|
}
|
|
|
|
|
|
2000-03-12 18:09:40 +01:00
|
|
|
for (parm_iter_t cur = localparams.begin()
|
|
|
|
|
; cur != localparams.end() ; cur ++) {
|
2002-08-19 04:39:16 +02:00
|
|
|
out << " localparam ";
|
|
|
|
|
if ((*cur).second.msb)
|
|
|
|
|
out << "[" << *(*cur).second.msb << ":"
|
|
|
|
|
<< *(*cur).second.lsb << "] ";
|
|
|
|
|
out << (*cur).first << " = ";
|
|
|
|
|
if ((*cur).second.expr)
|
|
|
|
|
out << *(*cur).second.expr << ";" << endl;
|
2000-03-12 18:09:40 +01:00
|
|
|
else
|
|
|
|
|
out << "/* ERROR */;" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
2004-05-25 21:21:06 +02:00
|
|
|
typedef map<perm_string,PExpr*>::const_iterator specparm_iter_t;
|
2003-02-27 07:45:11 +01:00
|
|
|
for (specparm_iter_t cur = specparams.begin()
|
|
|
|
|
; cur != specparams.end() ; cur ++) {
|
|
|
|
|
out << " specparam " << (*cur).first << " = "
|
|
|
|
|
<< *(*cur).second << ";" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
2001-12-03 05:47:14 +01:00
|
|
|
for (parm_hiter_t cur = defparms.begin()
|
2000-03-08 05:36:53 +01:00
|
|
|
; cur != defparms.end() ; cur ++) {
|
|
|
|
|
out << " defparam " << (*cur).first << " = ";
|
|
|
|
|
if ((*cur).second)
|
|
|
|
|
out << *(*cur).second << ";" << endl;
|
|
|
|
|
else
|
|
|
|
|
out << "/* ERROR */;" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
2004-05-25 21:21:06 +02:00
|
|
|
for (map<perm_string,PEvent*>::const_iterator cur = events.begin()
|
2000-04-01 21:31:57 +02:00
|
|
|
; cur != events.end() ; cur ++ ) {
|
|
|
|
|
PEvent*ev = (*cur).second;
|
|
|
|
|
out << " event " << ev->name() << "; // "
|
|
|
|
|
<< ev->get_line() << endl;
|
|
|
|
|
}
|
|
|
|
|
|
2003-06-13 21:10:45 +02:00
|
|
|
for (map<hname_t,PData*>::const_iterator cur = datum.begin()
|
2003-01-26 22:15:58 +01:00
|
|
|
; cur != datum.end() ; cur ++ ) {
|
|
|
|
|
PData*tmp = (*cur).second;
|
|
|
|
|
out << " real " << tmp->name() << "; // "
|
|
|
|
|
<< tmp->get_line() << endl;
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
// Iterate through and display all the wires.
|
2001-12-03 05:47:14 +01:00
|
|
|
for (map<hname_t,PWire*>::const_iterator wire = wires_.begin()
|
1999-07-03 04:12:51 +02:00
|
|
|
; wire != wires_.end()
|
1998-11-04 00:28:49 +01:00
|
|
|
; wire ++ ) {
|
|
|
|
|
|
2000-01-09 21:37:57 +01:00
|
|
|
(*wire).second->dump(out);
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
1999-07-03 04:12:51 +02:00
|
|
|
// Dump the task definitions.
|
2004-02-18 18:11:54 +01:00
|
|
|
typedef map<perm_string,PTask*>::const_iterator task_iter_t;
|
1999-07-03 04:12:51 +02:00
|
|
|
for (task_iter_t cur = tasks_.begin()
|
|
|
|
|
; cur != tasks_.end() ; cur ++) {
|
|
|
|
|
out << " task " << (*cur).first << ";" << endl;
|
|
|
|
|
(*cur).second->dump(out, 6);
|
|
|
|
|
out << " endtask;" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
1999-07-31 21:14:47 +02:00
|
|
|
// Dump the function definitions.
|
2004-02-18 18:11:54 +01:00
|
|
|
typedef map<perm_string,PFunction*>::const_iterator func_iter_t;
|
1999-07-31 21:14:47 +02:00
|
|
|
for (func_iter_t cur = funcs_.begin()
|
|
|
|
|
; cur != funcs_.end() ; cur ++) {
|
|
|
|
|
out << " function " << (*cur).first << ";" << endl;
|
|
|
|
|
(*cur).second->dump(out, 6);
|
|
|
|
|
out << " endfunction;" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
|
|
|
|
|
// Iterate through and display all the gates
|
1999-07-03 04:12:51 +02:00
|
|
|
for (list<PGate*>::const_iterator gate = gates_.begin()
|
|
|
|
|
; gate != gates_.end()
|
1998-11-04 00:28:49 +01:00
|
|
|
; gate ++ ) {
|
|
|
|
|
|
|
|
|
|
(*gate)->dump(out);
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
|
1999-07-03 04:12:51 +02:00
|
|
|
for (list<PProcess*>::const_iterator behav = behaviors_.begin()
|
|
|
|
|
; behav != behaviors_.end()
|
1998-11-04 00:28:49 +01:00
|
|
|
; behav ++ ) {
|
|
|
|
|
|
|
|
|
|
(*behav)->dump(out, 4);
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
out << "endmodule" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
1999-07-03 04:12:51 +02:00
|
|
|
void pform_dump(ostream&out, Module*mod)
|
|
|
|
|
{
|
|
|
|
|
mod->dump(out);
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-25 03:35:53 +01:00
|
|
|
void PUdp::dump(ostream&out) const
|
|
|
|
|
{
|
|
|
|
|
out << "primitive " << name_ << "(" << ports[0];
|
1999-06-15 05:44:53 +02:00
|
|
|
for (unsigned idx = 1 ; idx < ports.count() ; idx += 1)
|
1998-11-25 03:35:53 +01:00
|
|
|
out << ", " << ports[idx];
|
|
|
|
|
out << ");" << endl;
|
|
|
|
|
|
|
|
|
|
if (sequential)
|
|
|
|
|
out << " reg " << ports[0] << ";" << endl;
|
|
|
|
|
|
|
|
|
|
out << " table" << endl;
|
1999-06-15 05:44:53 +02:00
|
|
|
for (unsigned idx = 0 ; idx < tinput.count() ; idx += 1) {
|
1998-11-25 03:35:53 +01:00
|
|
|
out << " ";
|
|
|
|
|
for (unsigned chr = 0 ; chr < tinput[idx].length() ; chr += 1)
|
|
|
|
|
out << " " << tinput[idx][chr];
|
|
|
|
|
|
|
|
|
|
if (sequential)
|
|
|
|
|
out << " : " << tcurrent[idx];
|
|
|
|
|
|
|
|
|
|
out << " : " << toutput[idx] << " ;" << endl;
|
|
|
|
|
}
|
|
|
|
|
out << " endtable" << endl;
|
|
|
|
|
|
|
|
|
|
if (sequential)
|
|
|
|
|
out << " initial " << ports[0] << " = 1'b" << initial
|
|
|
|
|
<< ";" << endl;
|
|
|
|
|
|
1998-12-01 01:42:13 +01:00
|
|
|
// Dump the attributes for the primitive as attribute
|
|
|
|
|
// statements.
|
2002-05-23 05:08:50 +02:00
|
|
|
for (map<string,PExpr*>::const_iterator idx = attributes.begin()
|
1998-12-01 01:42:13 +01:00
|
|
|
; idx != attributes.end()
|
|
|
|
|
; idx ++) {
|
2002-05-24 06:36:23 +02:00
|
|
|
out << " attribute " << (*idx).first;
|
|
|
|
|
if ((*idx).second)
|
|
|
|
|
out << " = " << *(*idx).second;
|
|
|
|
|
out << endl;
|
1998-12-01 01:42:13 +01:00
|
|
|
}
|
2002-05-24 06:36:23 +02:00
|
|
|
|
|
|
|
|
out << "endprimitive" << endl;
|
1998-11-25 03:35:53 +01:00
|
|
|
}
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
|
|
|
|
|
/*
|
|
|
|
|
* $Log: pform_dump.cc,v $
|
2006-07-10 02:21:49 +02:00
|
|
|
* Revision 1.88.2.1 2006/07/10 00:21:54 steve
|
|
|
|
|
* Add support for full_case attribute.
|
|
|
|
|
*
|
2004-10-04 03:10:51 +02:00
|
|
|
* Revision 1.88 2004/10/04 01:10:55 steve
|
|
|
|
|
* Clean up spurious trailing white space.
|
|
|
|
|
*
|
2004-06-01 01:34:36 +02:00
|
|
|
* Revision 1.87 2004/05/31 23:34:39 steve
|
|
|
|
|
* Rewire/generalize parsing an elaboration of
|
|
|
|
|
* function return values to allow for better
|
|
|
|
|
* speed and more type support.
|
|
|
|
|
*
|
2004-05-25 21:21:06 +02:00
|
|
|
* Revision 1.86 2004/05/25 19:21:07 steve
|
|
|
|
|
* More identifier lists use perm_strings.
|
|
|
|
|
*
|
2004-02-20 19:53:33 +01:00
|
|
|
* Revision 1.85 2004/02/20 18:53:35 steve
|
|
|
|
|
* Addtrbute keys are perm_strings.
|
|
|
|
|
*
|
2004-02-20 07:22:56 +01:00
|
|
|
* Revision 1.84 2004/02/20 06:22:58 steve
|
|
|
|
|
* parameter keys are per_strings.
|
|
|
|
|
*
|
2004-02-18 18:11:54 +01:00
|
|
|
* Revision 1.83 2004/02/18 17:11:57 steve
|
|
|
|
|
* Use perm_strings for named langiage items.
|
|
|
|
|
*
|
2003-07-05 22:42:08 +02:00
|
|
|
* Revision 1.82 2003/07/05 20:42:08 steve
|
|
|
|
|
* Fix some enumeration warnings.
|
|
|
|
|
*
|
2003-06-20 02:53:19 +02:00
|
|
|
* Revision 1.81 2003/06/20 00:53:19 steve
|
|
|
|
|
* Module attributes from the parser
|
|
|
|
|
* through to elaborated form.
|
|
|
|
|
*
|
2003-06-13 21:10:45 +02:00
|
|
|
* Revision 1.80 2003/06/13 19:10:46 steve
|
|
|
|
|
* Properly manage real variables in subscopes.
|
|
|
|
|
*
|
2003-02-27 07:45:11 +01:00
|
|
|
* Revision 1.79 2003/02/27 06:45:11 steve
|
|
|
|
|
* specparams as far as pform.
|
|
|
|
|
*
|
2003-01-26 22:15:58 +01:00
|
|
|
* Revision 1.78 2003/01/26 21:15:59 steve
|
|
|
|
|
* Rework expression parsing and elaboration to
|
|
|
|
|
* accommodate real/realtime values and expressions.
|
|
|
|
|
*
|
2002-10-20 00:59:49 +02:00
|
|
|
* Revision 1.77 2002/10/19 22:59:49 steve
|
|
|
|
|
* Redo the parameter vector support to allow
|
|
|
|
|
* parameter names in range expressions.
|
|
|
|
|
*
|
2002-08-19 04:39:16 +02:00
|
|
|
* Revision 1.76 2002/08/19 02:39:17 steve
|
|
|
|
|
* Support parameters with defined ranges.
|
|
|
|
|
*
|
2002-08-12 03:34:58 +02:00
|
|
|
* Revision 1.75 2002/08/12 01:35:00 steve
|
|
|
|
|
* conditional ident string using autoconfig.
|
|
|
|
|
*
|
2002-05-26 03:39:02 +02:00
|
|
|
* Revision 1.74 2002/05/26 01:39:02 steve
|
|
|
|
|
* Carry Verilog 2001 attributes with processes,
|
|
|
|
|
* all the way through to the ivl_target API.
|
|
|
|
|
*
|
|
|
|
|
* Divide signal reference counts between rval
|
|
|
|
|
* and lval references.
|
|
|
|
|
*
|
2002-05-24 06:36:23 +02:00
|
|
|
* Revision 1.73 2002/05/24 04:36:23 steve
|
|
|
|
|
* Verilog 2001 attriubtes on nets/wires.
|
|
|
|
|
*
|
2002-05-23 05:08:50 +02:00
|
|
|
* Revision 1.72 2002/05/23 03:08:51 steve
|
|
|
|
|
* Add language support for Verilog-2001 attribute
|
|
|
|
|
* syntax. Hook this support into existing $attribute
|
|
|
|
|
* handling, and add number and void value types.
|
|
|
|
|
*
|
|
|
|
|
* Add to the ivl_target API new functions for access
|
|
|
|
|
* of complex attributes attached to gates.
|
|
|
|
|
*
|
2002-05-20 01:37:28 +02:00
|
|
|
* Revision 1.71 2002/05/19 23:37:28 steve
|
|
|
|
|
* Parse port_declaration_lists from the 2001 Standard.
|
|
|
|
|
*
|
2002-04-21 06:59:07 +02:00
|
|
|
* Revision 1.70 2002/04/21 04:59:08 steve
|
|
|
|
|
* Add support for conbinational events by finding
|
|
|
|
|
* the inputs to expressions and some statements.
|
|
|
|
|
* Get case and assignment statements working.
|
|
|
|
|
*
|
2002-01-26 06:28:28 +01:00
|
|
|
* Revision 1.69 2002/01/26 05:28:28 steve
|
|
|
|
|
* Detect scalar/vector declarion mismatch.
|
|
|
|
|
*
|
2001-12-03 05:47:14 +01:00
|
|
|
* Revision 1.68 2001/12/03 04:47:15 steve
|
|
|
|
|
* Parser and pform use hierarchical names as hname_t
|
|
|
|
|
* objects instead of encoded strings.
|
1998-11-04 00:28:49 +01:00
|
|
|
*/
|
|
|
|
|
|