1998-11-04 00:28:49 +01:00
|
|
|
/*
|
|
|
|
|
* Copyright (c) 1998 Stephen Williams (steve@icarus.com)
|
|
|
|
|
*
|
|
|
|
|
* This source code is free software; you can redistribute it
|
|
|
|
|
* and/or modify it in source code form under the terms of the GNU
|
|
|
|
|
* General Public License as published by the Free Software
|
|
|
|
|
* Foundation; either version 2 of the License, or (at your option)
|
|
|
|
|
* any later version.
|
|
|
|
|
*
|
|
|
|
|
* This program is distributed in the hope that it will be useful,
|
|
|
|
|
* but WITHOUT ANY WARRANTY; without even the implied warranty of
|
|
|
|
|
* MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
|
|
|
|
|
* GNU General Public License for more details.
|
|
|
|
|
*
|
|
|
|
|
* You should have received a copy of the GNU General Public License
|
|
|
|
|
* along with this program; if not, write to the Free Software
|
|
|
|
|
* Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA 02111-1307, USA
|
|
|
|
|
*/
|
|
|
|
|
#if !defined(WINNT)
|
1999-10-10 03:59:54 +02:00
|
|
|
#ident "$Id: pform_dump.cc,v 1.45 1999/10/10 01:59:55 steve Exp $"
|
1998-11-04 00:28:49 +01:00
|
|
|
#endif
|
|
|
|
|
|
|
|
|
|
/*
|
|
|
|
|
* This file provides the pform_dump function, that dumps the module
|
|
|
|
|
* passed as a parameter. The dump is as much as possible in Verilog
|
|
|
|
|
* syntax, so that a human can tell that it really does describe the
|
|
|
|
|
* module in question.
|
|
|
|
|
*/
|
|
|
|
|
# include "pform.h"
|
|
|
|
|
# include <iostream>
|
|
|
|
|
# include <iomanip>
|
|
|
|
|
# include <typeinfo>
|
|
|
|
|
|
|
|
|
|
ostream& operator << (ostream&out, const PExpr&obj)
|
|
|
|
|
{
|
|
|
|
|
obj.dump(out);
|
|
|
|
|
return out;
|
|
|
|
|
}
|
|
|
|
|
|
1999-09-04 21:11:45 +02:00
|
|
|
ostream& operator << (ostream&o, const PDelays&d)
|
|
|
|
|
{
|
|
|
|
|
d.dump_delays(o);
|
|
|
|
|
return o;
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
void PExpr::dump(ostream&out) const
|
|
|
|
|
{
|
|
|
|
|
out << typeid(*this).name();
|
|
|
|
|
}
|
|
|
|
|
|
1999-05-10 02:16:57 +02:00
|
|
|
void PEConcat::dump(ostream&out) const
|
|
|
|
|
{
|
1999-06-10 06:03:52 +02:00
|
|
|
if (repeat_)
|
|
|
|
|
out << "{" << *repeat_;
|
|
|
|
|
|
1999-05-10 02:16:57 +02:00
|
|
|
if (parms_.count() == 0) {
|
|
|
|
|
out << "{}";
|
|
|
|
|
return;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
out << "{" << *parms_[0];
|
|
|
|
|
for (unsigned idx = 1 ; idx < parms_.count() ; idx += 1)
|
|
|
|
|
out << ", " << *parms_[idx];
|
|
|
|
|
|
|
|
|
|
out << "}";
|
1999-06-10 06:03:52 +02:00
|
|
|
|
|
|
|
|
if (repeat_) out << "}";
|
1999-05-10 02:16:57 +02:00
|
|
|
}
|
|
|
|
|
|
1999-07-31 21:14:47 +02:00
|
|
|
void PECallFunction::dump(ostream &out) const
|
|
|
|
|
{
|
|
|
|
|
out << name_ << "(";
|
|
|
|
|
parms_[0]->dump(out);
|
1999-08-26 00:22:41 +02:00
|
|
|
for (unsigned idx = 1; idx < parms_.count(); ++idx) {
|
1999-07-31 21:14:47 +02:00
|
|
|
out << ", ";
|
|
|
|
|
parms_[idx]->dump(out);
|
|
|
|
|
}
|
|
|
|
|
out << ")";
|
|
|
|
|
}
|
|
|
|
|
|
1999-04-29 04:16:26 +02:00
|
|
|
void PEEvent::dump(ostream&out) const
|
|
|
|
|
{
|
|
|
|
|
switch (type_) {
|
1999-05-01 04:57:52 +02:00
|
|
|
case NetNEvent::ANYEDGE:
|
1999-04-29 04:16:26 +02:00
|
|
|
break;
|
1999-05-01 04:57:52 +02:00
|
|
|
case NetNEvent::POSEDGE:
|
1999-04-29 04:16:26 +02:00
|
|
|
out << "posedge ";
|
|
|
|
|
break;
|
1999-05-01 04:57:52 +02:00
|
|
|
case NetNEvent::NEGEDGE:
|
1999-04-29 04:16:26 +02:00
|
|
|
out << "negedge ";
|
|
|
|
|
break;
|
1999-05-01 04:57:52 +02:00
|
|
|
case NetNEvent::POSITIVE:
|
1999-04-29 04:16:26 +02:00
|
|
|
out << "positive ";
|
|
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
out << *expr_;
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
void PENumber::dump(ostream&out) const
|
|
|
|
|
{
|
|
|
|
|
out << value();
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void PEIdent::dump(ostream&out) const
|
|
|
|
|
{
|
|
|
|
|
out << text_;
|
|
|
|
|
if (msb_) {
|
|
|
|
|
out << "[" << *msb_;
|
|
|
|
|
if (lsb_) {
|
|
|
|
|
out << ":" << *lsb_;
|
|
|
|
|
}
|
|
|
|
|
out << "]";
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void PEString::dump(ostream&out) const
|
|
|
|
|
{
|
|
|
|
|
out << "\"" << text_ << "\"";
|
|
|
|
|
}
|
|
|
|
|
|
1999-07-17 21:50:59 +02:00
|
|
|
void PETernary::dump(ostream&out) const
|
|
|
|
|
{
|
|
|
|
|
out << "(" << *expr_ << ")?(" << *tru_ << "):(" << *fal_ << ")";
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
void PEUnary::dump(ostream&out) const
|
|
|
|
|
{
|
|
|
|
|
out << op_ << "(" << *expr_ << ")";
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void PEBinary::dump(ostream&out) const
|
|
|
|
|
{
|
1998-11-07 18:05:05 +01:00
|
|
|
out << "(" << *left_ << ")";
|
|
|
|
|
switch (op_) {
|
1999-10-10 03:59:54 +02:00
|
|
|
case 'a':
|
|
|
|
|
out << "&&";
|
|
|
|
|
break;
|
1998-11-07 18:05:05 +01:00
|
|
|
case 'e':
|
|
|
|
|
out << "==";
|
|
|
|
|
break;
|
|
|
|
|
case 'E':
|
|
|
|
|
out << "===";
|
|
|
|
|
break;
|
1999-05-29 04:36:17 +02:00
|
|
|
case 'l':
|
|
|
|
|
out << "<<";
|
|
|
|
|
break;
|
1998-11-07 18:05:05 +01:00
|
|
|
case 'n':
|
|
|
|
|
out << "!=";
|
|
|
|
|
break;
|
|
|
|
|
case 'N':
|
|
|
|
|
out << "!==";
|
|
|
|
|
break;
|
1999-05-29 04:36:17 +02:00
|
|
|
case 'r':
|
|
|
|
|
out << ">>";
|
|
|
|
|
break;
|
1998-11-07 18:05:05 +01:00
|
|
|
default:
|
|
|
|
|
out << op_;
|
|
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
out << "(" << *right_ << ")";
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
void PWire::dump(ostream&out) const
|
|
|
|
|
{
|
1999-06-17 07:34:42 +02:00
|
|
|
out << " " << type_;
|
1998-11-04 00:28:49 +01:00
|
|
|
|
1999-06-17 07:34:42 +02:00
|
|
|
switch (port_type_) {
|
1998-11-04 00:28:49 +01:00
|
|
|
case NetNet::PIMPLICIT:
|
1999-02-01 01:26:48 +01:00
|
|
|
out << " (implicit input)";
|
1998-11-04 00:28:49 +01:00
|
|
|
break;
|
|
|
|
|
case NetNet::PINPUT:
|
1999-02-01 01:26:48 +01:00
|
|
|
out << " (input)";
|
1998-11-04 00:28:49 +01:00
|
|
|
break;
|
|
|
|
|
case NetNet::POUTPUT:
|
1999-02-01 01:26:48 +01:00
|
|
|
out << " (output)";
|
1998-11-04 00:28:49 +01:00
|
|
|
break;
|
|
|
|
|
case NetNet::PINOUT:
|
1999-02-01 01:26:48 +01:00
|
|
|
out << " (input output)";
|
1998-11-04 00:28:49 +01:00
|
|
|
break;
|
|
|
|
|
case NetNet::NOT_A_PORT:
|
|
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
|
1999-06-17 07:34:42 +02:00
|
|
|
for (unsigned idx = 0 ; idx < msb_.count() ; idx += 1) {
|
|
|
|
|
assert(lsb_[idx] && msb_[idx]);
|
|
|
|
|
out << " [" << *msb_[idx] << ":" << *lsb_[idx] << "]";
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
1999-06-17 07:34:42 +02:00
|
|
|
out << " " << name_;
|
1999-04-19 03:59:36 +02:00
|
|
|
|
|
|
|
|
// If the wire has indices, dump them.
|
1999-06-17 07:34:42 +02:00
|
|
|
if (lidx_ || ridx_) {
|
1999-04-19 03:59:36 +02:00
|
|
|
out << "[";
|
1999-06-17 07:34:42 +02:00
|
|
|
if (lidx_) out << *lidx_;
|
|
|
|
|
if (ridx_) out << ":" << *ridx_;
|
1999-04-19 03:59:36 +02:00
|
|
|
out << "]";
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
out << ";" << endl;
|
1998-11-23 01:20:22 +01:00
|
|
|
for (map<string,string>::const_iterator idx = attributes.begin()
|
|
|
|
|
; idx != attributes.end()
|
|
|
|
|
; idx ++) {
|
|
|
|
|
out << " " << (*idx).first << " = \"" <<
|
|
|
|
|
(*idx).second << "\"" << endl;
|
|
|
|
|
}
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void PGate::dump_pins(ostream&out) const
|
|
|
|
|
{
|
|
|
|
|
if (pin_count()) {
|
|
|
|
|
out << *pin(0);
|
|
|
|
|
|
|
|
|
|
for (unsigned idx = 1 ; idx < pin_count() ; idx += 1) {
|
1998-11-09 19:55:33 +01:00
|
|
|
out << ", ";
|
|
|
|
|
if (pin(idx)) out << *pin(idx);
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
1999-09-04 21:11:45 +02:00
|
|
|
void PDelays::dump_delays(ostream&out) const
|
1999-08-01 18:34:50 +02:00
|
|
|
{
|
|
|
|
|
if (delay_[0] && delay_[1] && delay_[2])
|
|
|
|
|
out << "#(" << *delay_[0] << "," << *delay_[1] << "," <<
|
|
|
|
|
*delay_[2] << ")";
|
|
|
|
|
else if (delay_[0])
|
|
|
|
|
out << "#" << *delay_[0];
|
|
|
|
|
else
|
|
|
|
|
out << "#0";
|
|
|
|
|
|
|
|
|
|
}
|
|
|
|
|
|
1999-09-04 21:11:45 +02:00
|
|
|
void PGate::dump_delays(ostream&out) const
|
|
|
|
|
{
|
|
|
|
|
delay_.dump_delays(out);
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
void PGate::dump(ostream&out) const
|
|
|
|
|
{
|
1999-08-01 18:34:50 +02:00
|
|
|
out << " " << typeid(*this).name() << " ";
|
1999-09-04 21:11:45 +02:00
|
|
|
delay_.dump_delays(out);
|
1999-08-01 18:34:50 +02:00
|
|
|
out << " " << get_name() << "(";
|
1998-11-04 00:28:49 +01:00
|
|
|
dump_pins(out);
|
|
|
|
|
out << ");" << endl;
|
|
|
|
|
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void PGAssign::dump(ostream&out) const
|
|
|
|
|
{
|
1999-08-01 18:34:50 +02:00
|
|
|
out << " assign ";
|
|
|
|
|
dump_delays(out);
|
|
|
|
|
out << " " << *pin(0) << " = " << *pin(1) << ";" << endl;
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void PGBuiltin::dump(ostream&out) const
|
|
|
|
|
{
|
|
|
|
|
switch (type()) {
|
1999-02-15 03:06:15 +01:00
|
|
|
case PGBuiltin::BUFIF0:
|
1999-08-01 18:34:50 +02:00
|
|
|
out << " bufif0 ";
|
1999-02-15 03:06:15 +01:00
|
|
|
break;
|
|
|
|
|
case PGBuiltin::BUFIF1:
|
1999-08-01 18:34:50 +02:00
|
|
|
out << " bufif1 ";
|
1999-02-15 03:06:15 +01:00
|
|
|
break;
|
1998-11-04 00:28:49 +01:00
|
|
|
case PGBuiltin::NAND:
|
1999-08-01 18:34:50 +02:00
|
|
|
out << " nand ";
|
1998-11-04 00:28:49 +01:00
|
|
|
break;
|
|
|
|
|
default:
|
1999-08-01 18:34:50 +02:00
|
|
|
out << " builtin gate ";
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
1999-08-01 18:34:50 +02:00
|
|
|
dump_delays(out);
|
|
|
|
|
out << " " << get_name();
|
1999-02-15 03:06:15 +01:00
|
|
|
|
|
|
|
|
if (msb_) {
|
|
|
|
|
out << " [" << *msb_ << ":" << *lsb_ << "]";
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
out << "(";
|
1998-11-04 00:28:49 +01:00
|
|
|
dump_pins(out);
|
|
|
|
|
out << ");" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void PGModule::dump(ostream&out) const
|
|
|
|
|
{
|
1999-08-23 18:48:39 +02:00
|
|
|
out << " " << type_ << " ";
|
|
|
|
|
if (overrides_) {
|
|
|
|
|
out << "#(";
|
|
|
|
|
out << *((*overrides_)[0]);
|
|
|
|
|
for (unsigned idx = 1 ; idx < overrides_->count() ; idx += 1) {
|
|
|
|
|
out << "," << *((*overrides_)[idx]);
|
|
|
|
|
}
|
|
|
|
|
out << ") ";
|
|
|
|
|
}
|
|
|
|
|
out << get_name() << "(";
|
1999-05-29 04:36:17 +02:00
|
|
|
if (pins_) {
|
1999-09-29 22:23:53 +02:00
|
|
|
out << "." << pins_[0].name << "(";
|
|
|
|
|
if (pins_[0].parm) out << *pins_[0].parm;
|
|
|
|
|
out << ")";
|
1999-05-29 04:36:17 +02:00
|
|
|
for (unsigned idx = 1 ; idx < npins_ ; idx += 1) {
|
1999-09-29 23:15:58 +02:00
|
|
|
out << ", ." << pins_[idx].name << "(";
|
|
|
|
|
if (pins_[idx].parm)
|
|
|
|
|
out << *pins_[idx].parm;
|
|
|
|
|
out << ")";
|
1999-05-29 04:36:17 +02:00
|
|
|
}
|
|
|
|
|
} else {
|
|
|
|
|
dump_pins(out);
|
|
|
|
|
}
|
1998-11-04 00:28:49 +01:00
|
|
|
out << ");" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void Statement::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
/* I give up. I don't know what type this statement is,
|
|
|
|
|
so just print the C++ typeid and let the user figure
|
|
|
|
|
it out. */
|
|
|
|
|
out << setw(ind) << "";
|
1999-02-01 01:26:48 +01:00
|
|
|
out << "/* " << get_line() << ": " << typeid(*this).name()
|
|
|
|
|
<< " */ ;" << endl;
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void PAssign::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
out << setw(ind) << "";
|
1999-09-04 21:11:45 +02:00
|
|
|
out << *lval() << " = " << delay_ << " " << *rval() << ";";
|
1999-02-01 01:26:48 +01:00
|
|
|
out << " /* " << get_line() << " */" << endl;
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
1999-06-06 22:45:38 +02:00
|
|
|
void PAssignNB::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
out << setw(ind) << "";
|
1999-09-04 21:11:45 +02:00
|
|
|
out << *lval() << " <= " << delay_ << " " << *rval() << ";";
|
1999-06-06 22:45:38 +02:00
|
|
|
out << " /* " << get_line() << " */" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
void PBlock::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
1999-06-24 06:24:18 +02:00
|
|
|
out << setw(ind) << "" << "begin";
|
|
|
|
|
if (name_.length())
|
|
|
|
|
out << " : " << name_;
|
|
|
|
|
out << endl;
|
1998-11-04 00:28:49 +01:00
|
|
|
|
1999-06-24 06:24:18 +02:00
|
|
|
for (unsigned idx = 0 ; idx < list_.count() ; idx += 1) {
|
1999-09-29 23:15:58 +02:00
|
|
|
if (list_[idx])
|
|
|
|
|
list_[idx]->dump(out, ind+2);
|
|
|
|
|
else
|
|
|
|
|
out << setw(ind+2) << "" << "/* NOOP */ ;" << endl;
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
out << setw(ind) << "" << "end" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void PCallTask::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
out << setw(ind) << "" << name_;
|
|
|
|
|
|
1999-05-10 02:16:57 +02:00
|
|
|
if (parms_.count() > 0) {
|
1998-11-04 00:28:49 +01:00
|
|
|
out << "(";
|
|
|
|
|
if (parms_[0])
|
|
|
|
|
out << *parms_[0];
|
|
|
|
|
|
1999-05-10 02:16:57 +02:00
|
|
|
for (unsigned idx = 1 ; idx < parms_.count() ; idx += 1) {
|
1998-11-04 00:28:49 +01:00
|
|
|
out << ", ";
|
|
|
|
|
if (parms_[idx])
|
|
|
|
|
out << *parms_[idx];
|
|
|
|
|
}
|
|
|
|
|
out << ")";
|
|
|
|
|
}
|
|
|
|
|
|
1999-07-03 04:12:51 +02:00
|
|
|
out << "; /* " << get_line() << " */" << endl;
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
1999-02-03 05:20:11 +01:00
|
|
|
void PCase::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
1999-09-29 20:36:02 +02:00
|
|
|
out << setw(ind) << "";
|
|
|
|
|
switch (type_) {
|
|
|
|
|
case NetCase::EQ:
|
|
|
|
|
out << "case";
|
|
|
|
|
break;
|
|
|
|
|
case NetCase::EQX:
|
|
|
|
|
out << "casex";
|
|
|
|
|
break;
|
|
|
|
|
case NetCase::EQZ:
|
|
|
|
|
out << "casez";
|
|
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
out << " (" << *expr_ << ") /* " << get_line() << " */" << endl;
|
1999-02-03 05:20:11 +01:00
|
|
|
|
1999-06-06 22:45:38 +02:00
|
|
|
for (unsigned idx = 0 ; idx < items_->count() ; idx += 1) {
|
1999-06-15 07:38:39 +02:00
|
|
|
PCase::Item*cur = (*items_)[idx];
|
|
|
|
|
|
|
|
|
|
if (cur->expr.count() == 0) {
|
1999-02-03 05:20:11 +01:00
|
|
|
out << setw(ind+2) << "" << "default:";
|
|
|
|
|
|
1999-06-15 07:38:39 +02:00
|
|
|
} else {
|
|
|
|
|
out << setw(ind+2) << "" << *cur->expr[0];
|
|
|
|
|
|
|
|
|
|
for(unsigned e = 1 ; e < cur->expr.count() ; e += 1)
|
|
|
|
|
out << ", " << *cur->expr[e];
|
|
|
|
|
|
|
|
|
|
out << ":";
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
if (cur->stat) {
|
1999-02-03 05:20:11 +01:00
|
|
|
out << endl;
|
1999-06-15 07:38:39 +02:00
|
|
|
cur->stat->dump(out, ind+6);
|
1999-02-03 05:20:11 +01:00
|
|
|
} else {
|
|
|
|
|
out << " ;" << endl;
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
out << setw(ind) << "" << "endcase" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-07 18:05:05 +01:00
|
|
|
void PCondit::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
out << setw(ind) << "" << "if (" << *expr_ << ")" << endl;
|
1999-09-08 04:24:39 +02:00
|
|
|
if (if_)
|
|
|
|
|
if_->dump(out, ind+3);
|
|
|
|
|
else
|
|
|
|
|
out << setw(ind) << ";" << endl;
|
1998-11-07 18:05:05 +01:00
|
|
|
if (else_) {
|
|
|
|
|
out << setw(ind) << "" << "else" << endl;
|
|
|
|
|
else_->dump(out, ind+3);
|
|
|
|
|
}
|
|
|
|
|
}
|
1998-11-04 00:28:49 +01:00
|
|
|
|
|
|
|
|
void PDelayStatement::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
1999-05-05 05:04:46 +02:00
|
|
|
out << setw(ind) << "" << "#" << *delay_ << " /* " <<
|
|
|
|
|
get_line() << " */";
|
|
|
|
|
if (statement_) {
|
|
|
|
|
out << endl;
|
|
|
|
|
statement_->dump(out, ind+2);
|
|
|
|
|
} else {
|
|
|
|
|
out << " /* noop */;" << endl;
|
|
|
|
|
}
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
void PEventStatement::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
1999-04-29 04:16:26 +02:00
|
|
|
out << setw(ind) << "" << "@(" << *(expr_[0]);
|
|
|
|
|
if (expr_.count() > 1)
|
|
|
|
|
for (unsigned idx = 1 ; idx < expr_.count() ; idx += 1)
|
|
|
|
|
out << " or " << *(expr_[idx]);
|
|
|
|
|
|
|
|
|
|
out << ")";
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
|
1999-02-01 01:26:48 +01:00
|
|
|
if (statement_) {
|
|
|
|
|
out << endl;
|
|
|
|
|
statement_->dump(out, ind+2);
|
|
|
|
|
} else {
|
|
|
|
|
out << " ;" << endl;
|
|
|
|
|
}
|
1998-11-04 00:28:49 +01:00
|
|
|
}
|
|
|
|
|
|
1999-06-19 23:06:16 +02:00
|
|
|
void PForever::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
out << setw(ind) << "" << "forever /* " << get_line() << " */" << endl;
|
|
|
|
|
statement_->dump(out, ind+3);
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-09 19:55:33 +01:00
|
|
|
void PForStatement::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
1999-06-06 22:45:38 +02:00
|
|
|
out << setw(ind) << "" << "for (" << *name1_ << " = " << *expr1_
|
|
|
|
|
<< "; " << *cond_ << "; " << *name2_ << " = " << *expr2_ <<
|
1998-11-09 19:55:33 +01:00
|
|
|
")" << endl;
|
|
|
|
|
statement_->dump(out, ind+3);
|
|
|
|
|
}
|
|
|
|
|
|
1999-07-31 21:14:47 +02:00
|
|
|
void PFunction::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
1999-08-26 00:22:41 +02:00
|
|
|
out << setw(ind) << "" << "output " << out_->name() << ";" << endl;
|
1999-07-31 21:14:47 +02:00
|
|
|
for (unsigned idx = 0 ; idx < ports_->count() ; idx += 1) {
|
|
|
|
|
out << setw(ind) << "";
|
|
|
|
|
out << "input ";
|
|
|
|
|
out << (*ports_)[idx]->name() << ";" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
1999-09-30 02:48:49 +02:00
|
|
|
if (statement_)
|
|
|
|
|
statement_->dump(out, ind);
|
|
|
|
|
else
|
|
|
|
|
out << setw(ind) << "" << "/* NOOP */" << endl;
|
1999-07-31 21:14:47 +02:00
|
|
|
}
|
|
|
|
|
|
1999-06-19 23:06:16 +02:00
|
|
|
void PRepeat::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
out << setw(ind) << "" << "repeat (" << *expr_ << ")" << endl;
|
|
|
|
|
statement_->dump(out, ind+3);
|
|
|
|
|
}
|
|
|
|
|
|
1999-07-03 04:12:51 +02:00
|
|
|
void PTask::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
1999-07-30 02:43:17 +02:00
|
|
|
if (ports_)
|
|
|
|
|
for (unsigned idx = 0 ; idx < ports_->count() ; idx += 1) {
|
|
|
|
|
out << setw(ind) << "";
|
|
|
|
|
switch ((*ports_)[idx]->get_port_type()) {
|
|
|
|
|
case NetNet::PINPUT:
|
|
|
|
|
out << "input ";
|
|
|
|
|
break;
|
|
|
|
|
case NetNet::POUTPUT:
|
|
|
|
|
out << "output ";
|
|
|
|
|
break;
|
|
|
|
|
case NetNet::PINOUT:
|
|
|
|
|
out << "inout ";
|
|
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
out << (*ports_)[idx]->name() << ";" << endl;
|
1999-07-24 04:11:19 +02:00
|
|
|
}
|
|
|
|
|
|
1999-09-30 04:43:01 +02:00
|
|
|
if (statement_)
|
|
|
|
|
statement_->dump(out, ind);
|
|
|
|
|
else
|
|
|
|
|
out << setw(ind) << "" << "/* NOOP */" << endl;
|
1999-07-03 04:12:51 +02:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
|
1998-11-11 04:13:04 +01:00
|
|
|
void PWhile::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
out << setw(ind) << "" << "while (" << *cond_ << ")" << endl;
|
|
|
|
|
statement_->dump(out, ind+3);
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
void PProcess::dump(ostream&out, unsigned ind) const
|
|
|
|
|
{
|
|
|
|
|
switch (type_) {
|
|
|
|
|
case PProcess::PR_INITIAL:
|
1999-02-01 01:26:48 +01:00
|
|
|
out << setw(ind) << "" << "initial";
|
1998-11-04 00:28:49 +01:00
|
|
|
break;
|
|
|
|
|
case PProcess::PR_ALWAYS:
|
1999-02-01 01:26:48 +01:00
|
|
|
out << setw(ind) << "" << "always";
|
1998-11-04 00:28:49 +01:00
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
|
1999-02-01 01:26:48 +01:00
|
|
|
out << " /* " << get_line() << " */" << endl;
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
statement_->dump(out, ind+2);
|
|
|
|
|
}
|
|
|
|
|
|
1999-07-03 04:12:51 +02:00
|
|
|
void Module::dump(ostream&out) const
|
1998-11-04 00:28:49 +01:00
|
|
|
{
|
1999-07-03 04:12:51 +02:00
|
|
|
out << "module " << name_ << ";" << endl;
|
1998-11-04 00:28:49 +01:00
|
|
|
|
1999-08-03 06:14:49 +02:00
|
|
|
for (unsigned idx = 0 ; idx < ports_.count() ; idx += 1) {
|
|
|
|
|
port_t*cur = ports_[idx];
|
1999-09-17 04:06:25 +02:00
|
|
|
|
|
|
|
|
if (cur == 0) {
|
|
|
|
|
out << " unconnected" << endl;
|
|
|
|
|
continue;
|
|
|
|
|
}
|
|
|
|
|
|
1999-08-03 06:14:49 +02:00
|
|
|
switch (cur->wires[0]->get_port_type()) {
|
|
|
|
|
case NetNet::PINPUT:
|
|
|
|
|
out << " input ." << cur->name << "(";
|
|
|
|
|
break;
|
|
|
|
|
case NetNet::POUTPUT:
|
1999-08-03 06:49:13 +02:00
|
|
|
out << " output ." << cur->name << "(";
|
1999-08-03 06:14:49 +02:00
|
|
|
break;
|
|
|
|
|
case NetNet::PINOUT:
|
1999-08-03 06:49:13 +02:00
|
|
|
out << " inout ." << cur->name << "(";
|
1999-08-03 06:14:49 +02:00
|
|
|
break;
|
|
|
|
|
default:
|
|
|
|
|
out << " XXXX ." << cur->name << "(";
|
|
|
|
|
break;
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
out << cur->wires[0]->name();
|
|
|
|
|
for (unsigned wdx = 1 ; wdx < cur->wires.count() ; wdx += 1) {
|
|
|
|
|
out << ", " << cur->wires[wdx]->name();
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
out << ")" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
1999-02-21 18:01:57 +01:00
|
|
|
typedef map<string,PExpr*>::const_iterator parm_iter_t;
|
1999-07-03 04:12:51 +02:00
|
|
|
for (parm_iter_t cur = parameters.begin()
|
|
|
|
|
; cur != parameters.end() ; cur ++) {
|
1999-09-30 04:43:01 +02:00
|
|
|
out << " parameter " << (*cur).first << " = ";
|
|
|
|
|
if ((*cur).second)
|
|
|
|
|
out << *(*cur).second << ";" << endl;
|
|
|
|
|
else
|
|
|
|
|
out << "/* ERROR */;" << endl;
|
1999-02-21 18:01:57 +01:00
|
|
|
}
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
// Iterate through and display all the wires.
|
1999-07-03 04:12:51 +02:00
|
|
|
for (list<PWire*>::const_iterator wire = wires_.begin()
|
|
|
|
|
; wire != wires_.end()
|
1998-11-04 00:28:49 +01:00
|
|
|
; wire ++ ) {
|
|
|
|
|
|
|
|
|
|
(*wire)->dump(out);
|
|
|
|
|
}
|
|
|
|
|
|
1999-07-03 04:12:51 +02:00
|
|
|
// Dump the task definitions.
|
|
|
|
|
typedef map<string,PTask*>::const_iterator task_iter_t;
|
|
|
|
|
for (task_iter_t cur = tasks_.begin()
|
|
|
|
|
; cur != tasks_.end() ; cur ++) {
|
|
|
|
|
out << " task " << (*cur).first << ";" << endl;
|
|
|
|
|
(*cur).second->dump(out, 6);
|
|
|
|
|
out << " endtask;" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
1999-07-31 21:14:47 +02:00
|
|
|
// Dump the function definitions.
|
|
|
|
|
typedef map<string,PFunction*>::const_iterator func_iter_t;
|
|
|
|
|
for (func_iter_t cur = funcs_.begin()
|
|
|
|
|
; cur != funcs_.end() ; cur ++) {
|
|
|
|
|
out << " function " << (*cur).first << ";" << endl;
|
|
|
|
|
(*cur).second->dump(out, 6);
|
|
|
|
|
out << " endfunction;" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
|
|
|
|
|
// Iterate through and display all the gates
|
1999-07-03 04:12:51 +02:00
|
|
|
for (list<PGate*>::const_iterator gate = gates_.begin()
|
|
|
|
|
; gate != gates_.end()
|
1998-11-04 00:28:49 +01:00
|
|
|
; gate ++ ) {
|
|
|
|
|
|
|
|
|
|
(*gate)->dump(out);
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
|
1999-07-03 04:12:51 +02:00
|
|
|
for (list<PProcess*>::const_iterator behav = behaviors_.begin()
|
|
|
|
|
; behav != behaviors_.end()
|
1998-11-04 00:28:49 +01:00
|
|
|
; behav ++ ) {
|
|
|
|
|
|
|
|
|
|
(*behav)->dump(out, 4);
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
out << "endmodule" << endl;
|
|
|
|
|
}
|
|
|
|
|
|
1999-07-03 04:12:51 +02:00
|
|
|
void pform_dump(ostream&out, Module*mod)
|
|
|
|
|
{
|
|
|
|
|
mod->dump(out);
|
|
|
|
|
}
|
|
|
|
|
|
1998-11-25 03:35:53 +01:00
|
|
|
void PUdp::dump(ostream&out) const
|
|
|
|
|
{
|
|
|
|
|
out << "primitive " << name_ << "(" << ports[0];
|
1999-06-15 05:44:53 +02:00
|
|
|
for (unsigned idx = 1 ; idx < ports.count() ; idx += 1)
|
1998-11-25 03:35:53 +01:00
|
|
|
out << ", " << ports[idx];
|
|
|
|
|
out << ");" << endl;
|
|
|
|
|
|
|
|
|
|
if (sequential)
|
|
|
|
|
out << " reg " << ports[0] << ";" << endl;
|
|
|
|
|
|
|
|
|
|
out << " table" << endl;
|
1999-06-15 05:44:53 +02:00
|
|
|
for (unsigned idx = 0 ; idx < tinput.count() ; idx += 1) {
|
1998-11-25 03:35:53 +01:00
|
|
|
out << " ";
|
|
|
|
|
for (unsigned chr = 0 ; chr < tinput[idx].length() ; chr += 1)
|
|
|
|
|
out << " " << tinput[idx][chr];
|
|
|
|
|
|
|
|
|
|
if (sequential)
|
|
|
|
|
out << " : " << tcurrent[idx];
|
|
|
|
|
|
|
|
|
|
out << " : " << toutput[idx] << " ;" << endl;
|
|
|
|
|
}
|
|
|
|
|
out << " endtable" << endl;
|
|
|
|
|
|
|
|
|
|
if (sequential)
|
|
|
|
|
out << " initial " << ports[0] << " = 1'b" << initial
|
|
|
|
|
<< ";" << endl;
|
|
|
|
|
|
|
|
|
|
out << "endprimitive" << endl;
|
1998-12-01 01:42:13 +01:00
|
|
|
|
|
|
|
|
// Dump the attributes for the primitive as attribute
|
|
|
|
|
// statements.
|
|
|
|
|
for (map<string,string>::const_iterator idx = attributes.begin()
|
|
|
|
|
; idx != attributes.end()
|
|
|
|
|
; idx ++) {
|
|
|
|
|
out << "$attribute(" << name_ << ", \"" << (*idx).first <<
|
|
|
|
|
"\", \"" << (*idx).second << "\")" << endl;
|
|
|
|
|
}
|
1998-11-25 03:35:53 +01:00
|
|
|
}
|
|
|
|
|
|
1998-11-04 00:28:49 +01:00
|
|
|
|
|
|
|
|
/*
|
|
|
|
|
* $Log: pform_dump.cc,v $
|
1999-10-10 03:59:54 +02:00
|
|
|
* Revision 1.45 1999/10/10 01:59:55 steve
|
|
|
|
|
* Structural case equals device.
|
|
|
|
|
*
|
1999-09-30 04:43:01 +02:00
|
|
|
* Revision 1.44 1999/09/30 02:43:02 steve
|
|
|
|
|
* Elaborate ~^ and ~| operators.
|
|
|
|
|
*
|
1999-09-30 02:48:49 +02:00
|
|
|
* Revision 1.43 1999/09/30 00:48:50 steve
|
|
|
|
|
* Cope with errors during ternary operator elaboration.
|
|
|
|
|
*
|
1999-09-29 23:15:58 +02:00
|
|
|
* Revision 1.42 1999/09/29 21:15:58 steve
|
|
|
|
|
* Handle some mor missing names.
|
|
|
|
|
*
|
1999-09-29 22:23:53 +02:00
|
|
|
* Revision 1.41 1999/09/29 20:23:53 steve
|
|
|
|
|
* Handle empty named ports in the dump.
|
|
|
|
|
*
|
1999-09-29 20:36:02 +02:00
|
|
|
* Revision 1.40 1999/09/29 18:36:04 steve
|
|
|
|
|
* Full case support
|
|
|
|
|
*
|
1999-09-17 04:06:25 +02:00
|
|
|
* Revision 1.39 1999/09/17 02:06:26 steve
|
|
|
|
|
* Handle unconnected module ports.
|
|
|
|
|
*
|
1999-09-08 04:24:39 +02:00
|
|
|
* Revision 1.38 1999/09/08 02:24:39 steve
|
|
|
|
|
* Empty conditionals (pmonta@imedia.com)
|
|
|
|
|
*
|
1999-09-04 21:11:45 +02:00
|
|
|
* Revision 1.37 1999/09/04 19:11:46 steve
|
|
|
|
|
* Add support for delayed non-blocking assignments.
|
|
|
|
|
*
|
1999-08-26 00:22:41 +02:00
|
|
|
* Revision 1.36 1999/08/25 22:22:41 steve
|
|
|
|
|
* elaborate some aspects of functions.
|
|
|
|
|
*
|
1999-08-23 18:48:39 +02:00
|
|
|
* Revision 1.35 1999/08/23 16:48:39 steve
|
|
|
|
|
* Parameter overrides support from Peter Monta
|
|
|
|
|
* AND and XOR support wide expressions.
|
|
|
|
|
*
|
1999-08-03 06:49:13 +02:00
|
|
|
* Revision 1.34 1999/08/03 04:49:13 steve
|
|
|
|
|
* Proper port type names.
|
|
|
|
|
*
|
1999-08-03 06:14:49 +02:00
|
|
|
* Revision 1.33 1999/08/03 04:14:49 steve
|
|
|
|
|
* Parse into pform arbitrarily complex module
|
|
|
|
|
* port declarations.
|
|
|
|
|
*
|
1999-08-01 18:34:50 +02:00
|
|
|
* Revision 1.32 1999/08/01 16:34:50 steve
|
|
|
|
|
* Parse and elaborate rise/fall/decay times
|
|
|
|
|
* for gates, and handle the rules for partial
|
|
|
|
|
* lists of times.
|
|
|
|
|
*
|
1999-07-31 21:14:47 +02:00
|
|
|
* Revision 1.31 1999/07/31 19:14:47 steve
|
|
|
|
|
* Add functions up to elaboration (Ed Carter)
|
|
|
|
|
*
|
1999-07-30 02:43:17 +02:00
|
|
|
* Revision 1.30 1999/07/30 00:43:17 steve
|
|
|
|
|
* Handle dumping tasks with no ports.
|
|
|
|
|
*
|
1999-07-24 04:11:19 +02:00
|
|
|
* Revision 1.29 1999/07/24 02:11:20 steve
|
|
|
|
|
* Elaborate task input ports.
|
|
|
|
|
*
|
1999-07-17 21:50:59 +02:00
|
|
|
* Revision 1.28 1999/07/17 19:51:00 steve
|
|
|
|
|
* netlist support for ternary operator.
|
|
|
|
|
*
|
1999-07-12 02:59:36 +02:00
|
|
|
* Revision 1.27 1999/07/12 00:59:36 steve
|
|
|
|
|
* procedural blocking assignment delays.
|
|
|
|
|
*
|
1999-07-03 04:12:51 +02:00
|
|
|
* Revision 1.26 1999/07/03 02:12:52 steve
|
|
|
|
|
* Elaborate user defined tasks.
|
|
|
|
|
*
|
1999-06-24 06:24:18 +02:00
|
|
|
* Revision 1.25 1999/06/24 04:24:18 steve
|
|
|
|
|
* Handle expression widths for EEE and NEE operators,
|
|
|
|
|
* add named blocks and scope handling,
|
|
|
|
|
* add registers declared in named blocks.
|
|
|
|
|
*
|
1999-06-19 23:06:16 +02:00
|
|
|
* Revision 1.24 1999/06/19 21:06:16 steve
|
|
|
|
|
* Elaborate and supprort to vvm the forever
|
|
|
|
|
* and repeat statements.
|
|
|
|
|
*
|
1999-06-17 07:34:42 +02:00
|
|
|
* Revision 1.23 1999/06/17 05:34:42 steve
|
|
|
|
|
* Clean up interface of the PWire class,
|
|
|
|
|
* Properly match wire ranges.
|
|
|
|
|
*
|
1999-06-15 07:38:39 +02:00
|
|
|
* Revision 1.22 1999/06/15 05:38:39 steve
|
|
|
|
|
* Support case expression lists.
|
|
|
|
|
*
|
1999-06-15 05:44:53 +02:00
|
|
|
* Revision 1.21 1999/06/15 03:44:53 steve
|
|
|
|
|
* Get rid of the STL vector template.
|
|
|
|
|
*
|
1999-06-14 01:51:16 +02:00
|
|
|
* Revision 1.20 1999/06/13 23:51:16 steve
|
|
|
|
|
* l-value part select for procedural assignments.
|
|
|
|
|
*
|
1999-06-10 06:03:52 +02:00
|
|
|
* Revision 1.19 1999/06/10 04:03:53 steve
|
|
|
|
|
* Add support for the Ternary operator,
|
|
|
|
|
* Add support for repeat concatenation,
|
|
|
|
|
* Correct some seg faults cause by elaboration
|
|
|
|
|
* errors,
|
|
|
|
|
* Parse the casex anc casez statements.
|
|
|
|
|
*
|
1999-06-06 22:45:38 +02:00
|
|
|
* Revision 1.18 1999/06/06 20:45:39 steve
|
|
|
|
|
* Add parse and elaboration of non-blocking assignments,
|
|
|
|
|
* Replace list<PCase::Item*> with an svector version,
|
|
|
|
|
* Add integer support.
|
|
|
|
|
*
|
1999-05-29 04:36:17 +02:00
|
|
|
* Revision 1.17 1999/05/29 02:36:17 steve
|
|
|
|
|
* module parameter bind by name.
|
|
|
|
|
*
|
1999-05-10 02:16:57 +02:00
|
|
|
* Revision 1.16 1999/05/10 00:16:58 steve
|
|
|
|
|
* Parse and elaborate the concatenate operator
|
|
|
|
|
* in structural contexts, Replace vector<PExpr*>
|
|
|
|
|
* and list<PExpr*> with svector<PExpr*>, evaluate
|
|
|
|
|
* constant expressions with parameters, handle
|
|
|
|
|
* memories as lvalues.
|
|
|
|
|
*
|
|
|
|
|
* Parse task declarations, integer types.
|
|
|
|
|
*
|
1999-05-05 05:04:46 +02:00
|
|
|
* Revision 1.15 1999/05/05 03:04:46 steve
|
|
|
|
|
* Fix handling of null delay statements.
|
|
|
|
|
*
|
1999-05-01 04:57:52 +02:00
|
|
|
* Revision 1.14 1999/05/01 02:57:53 steve
|
|
|
|
|
* Handle much more complex event expressions.
|
|
|
|
|
*
|
1999-04-29 04:16:26 +02:00
|
|
|
* Revision 1.13 1999/04/29 02:16:26 steve
|
|
|
|
|
* Parse OR of event expressions.
|
|
|
|
|
*
|
1999-04-19 03:59:36 +02:00
|
|
|
* Revision 1.12 1999/04/19 01:59:37 steve
|
|
|
|
|
* Add memories to the parse and elaboration phases.
|
|
|
|
|
*
|
1999-02-21 18:01:57 +01:00
|
|
|
* Revision 1.11 1999/02/21 17:01:57 steve
|
|
|
|
|
* Add support for module parameters.
|
|
|
|
|
*
|
1999-02-15 03:06:15 +01:00
|
|
|
* Revision 1.10 1999/02/15 02:06:15 steve
|
|
|
|
|
* Elaborate gate ranges.
|
|
|
|
|
*
|
1999-02-03 05:20:11 +01:00
|
|
|
* Revision 1.9 1999/02/03 04:20:11 steve
|
|
|
|
|
* Parse and elaborate the Verilog CASE statement.
|
|
|
|
|
*
|
1999-02-01 01:26:48 +01:00
|
|
|
* Revision 1.8 1999/02/01 00:26:49 steve
|
|
|
|
|
* Carry some line info to the netlist,
|
|
|
|
|
* Dump line numbers for processes.
|
|
|
|
|
* Elaborate prints errors about port vector
|
|
|
|
|
* width mismatch
|
|
|
|
|
* Emit better handles null statements.
|
1998-11-04 00:28:49 +01:00
|
|
|
*/
|
|
|
|
|
|