James Cherry
|
2bc6e8f68c
|
update copyright
Signed-off-by: James Cherry <cherry@parallaxsw.com>
|
2022-01-04 10:17:08 -07:00 |
James Cherry
|
0ceb8e60f8
|
define_corners after read_liberty error
Signed-off-by: James Cherry <cherry@parallaxsw.com>
|
2021-12-22 16:11:54 -07:00 |
James Cherry
|
3849b961c4
|
write_sdc -gzip
Signed-off-by: James Cherry <cherry@parallaxsw.com>
|
2021-11-15 07:31:29 -07:00 |
James Cherry
|
65774f4bdd
|
read_sdc gzip files
Signed-off-by: James Cherry <cherry@parallaxsw.com>
|
2021-11-14 17:53:25 -07:00 |
James Cherry
|
243d88292f
|
create_clock allow negative waveform time
Signed-off-by: James Cherry <cherry@parallaxsw.com>
|
2021-08-16 12:18:10 -07:00 |
James Cherry
|
65feb976a5
|
create_clock waveform checks
Signed-off-by: James Cherry <cherry@parallaxsw.com>
|
2021-08-14 14:54:49 -07:00 |
James Cherry
|
2e8f0035dc
|
update copyright
|
2021-06-25 10:25:49 -07:00 |
James Cherry
|
a1df318eeb
|
get_lib_cells/get_lib_pins allow dashes
|
2021-04-09 22:32:51 -07:00 |
James Cherry
|
598d5ed0fe
|
set_load for all corners
|
2021-03-30 09:27:32 -07:00 |
James Cherry
|
e6f2fe16d6
|
set_clock_transition error->warn
|
2021-01-19 11:13:57 -07:00 |
James Cherry
|
7d6c70c6f8
|
puts -> report_line
|
2020-12-25 16:55:46 -08:00 |
James Cherry
|
1a99dd0aff
|
cmd filename args with spaces
|
2020-12-23 08:02:56 -08:00 |
James Cherry
|
a23197807e
|
rm dup msg ids
|
2020-12-20 11:21:50 -07:00 |
James Cherry
|
d42f791da2
|
sta_warn/sta_error id
|
2020-12-15 22:31:08 -07:00 |
James Cherry
|
7fba8a57ea
|
cmd line file return exit code
|
2020-11-13 12:46:19 -07:00 |
James Cherry
|
b32582dfaa
|
create_generated_clock net
|
2020-09-20 22:30:22 -07:00 |
James Cherry
|
3d492eddee
|
get -filter spaces around op not required
|
2020-07-04 08:26:11 -07:00 |
James Cherry
|
535a09edcc
|
get_cells -of_objects ports
|
2020-07-03 18:19:39 -07:00 |
James Cherry
|
7a89329549
|
set_cmd_units
|
2020-06-11 08:45:14 -07:00 |
James Cherry
|
6b4f2cc130
|
remove set_max_transition pin support
|
2020-06-08 17:16:15 -07:00 |
James Cherry
|
316e8990ed
|
hush on the S and C word
|
2020-05-14 18:05:17 -07:00 |
James Cherry
|
7c02b7425a
|
get_lib_pins make library optional
|
2020-05-07 18:00:15 -07:00 |
James Cherry
|
6d06cb22d8
|
get_lib_cells don't require library/
|
2020-04-26 08:49:09 -07:00 |
James Cherry
|
4eee0ca83e
|
exception warn instead of error for no valid args
|
2020-04-24 19:53:22 -07:00 |
James Cherry
|
51210854bf
|
set_sdc -power
|
2020-04-09 14:21:10 -07:00 |
James Cherry
|
6f088660ba
|
source fflush after each cmd
|
2020-03-08 16:58:10 -07:00 |
James Cherry
|
4a017e86eb
|
update copyright
|
2020-03-06 18:50:37 -08:00 |
James Cherry
|
b5d4e7d382
|
sta_continue_on_error default 0
|
2020-02-14 12:29:22 -07:00 |
James Cherry
|
ea4a99c9b0
|
get_lib_pins reorg
|
2020-02-01 10:19:10 -07:00 |
James Cherry
|
234996e08f
|
set_units allow number prefix as in 1ns
|
2020-01-27 09:37:36 -07:00 |
James Cherry
|
cc1bd6b5ab
|
TransRiseFall -> RiseFall
|
2019-11-11 15:30:19 -07:00 |
James Cherry
|
6ac93c8c7d
|
vertex_pin -> leaf_pin
|
2019-10-25 08:51:59 -07:00 |
James Cherry
|
73fb94a2dd
|
set_units
|
2019-07-13 16:56:46 -07:00 |
James Cherry
|
fa849908d7
|
set_cmd_units
|
2019-07-08 11:50:41 -07:00 |
James Cherry
|
db6b650a52
|
splash include git sha1
|
2019-07-07 09:58:47 -07:00 |
James Cherry
|
eb9fdd1be0
|
write verilog match liberty bus bit order
|
2019-07-02 07:07:34 -07:00 |
James Cherry
|
93f5f9d664
|
no need for virtuals in Concrete network objects
|
2019-06-28 13:38:56 -07:00 |
James Cherry
|
389b9b8276
|
set_data_check no -setup|-hold
|
2019-06-26 15:58:23 -07:00 |
James Cherry
|
15e759a992
|
get_lib_cells allow wildcard lib name
|
2019-06-23 21:38:01 -07:00 |
James Cherry
|
12494398e9
|
set_clock_sense -> set_sense, LibertyPort::driveResistance
|
2019-06-23 19:52:29 -07:00 |
James Cherry
|
5f3b10bdf2
|
mv GraphDelayCalc1::isDriver to Vertex
|
2019-06-12 21:41:33 -07:00 |
James Cherry
|
12ca613886
|
2.0.14
|
2019-04-18 18:01:10 -07:00 |
James Cherry
|
d8146af755
|
remove autotools/configure support
|
2019-02-16 12:07:59 -08:00 |
James Cherry
|
316742202f
|
sync
|
2019-01-16 15:37:31 -08:00 |
James Cherry
|
b075ccc783
|
update copyright
|
2019-01-01 12:26:11 -08:00 |
James Cherry
|
ddf897d4e6
|
report_power, pocv support
|
2018-11-26 09:15:52 -08:00 |
James Cherry
|
e9bde796ec
|
2018/11/08 corners > 2 causes internal error, 2018/11/09 Verilog ignore attributes (* blah *)
|
2018-11-09 10:04:16 -08:00 |
James Cherry
|
1154fb89fd
|
and then there was light...
|
2018-09-28 08:54:21 -07:00 |