2019-04-19 02:42:41 +02:00
|
|
|
`define PRINT(name, val) \
|
2019-09-11 20:45:41 +02:00
|
|
|
dummy``name = val; \
|
2020-06-05 02:19:21 +02:00
|
|
|
$display(`"name %h %h %0d %0d`", \
|
2019-09-11 20:45:41 +02:00
|
|
|
val, dummy``name, $bits(val), $bits(dummy``name));
|
|
|
|
|
|
2019-04-04 03:31:49 +02:00
|
|
|
module top;
|
2019-04-19 02:42:41 +02:00
|
|
|
|
|
|
|
|
reg [31:0] dummyA;
|
|
|
|
|
reg [31:0] dummyB;
|
|
|
|
|
reg [31:0] dummyC;
|
|
|
|
|
reg [31:0] dummyD;
|
|
|
|
|
reg [31:0] dummyE;
|
2020-06-05 02:19:21 +02:00
|
|
|
reg dummyF;
|
2019-04-19 02:42:41 +02:00
|
|
|
reg [0:0] dummyG;
|
2019-09-11 20:45:41 +02:00
|
|
|
reg [3:0] dummyH;
|
2019-09-26 05:45:18 +02:00
|
|
|
reg [31:0] dummyI;
|
2019-04-19 02:42:41 +02:00
|
|
|
|
2019-04-04 03:31:49 +02:00
|
|
|
initial begin
|
|
|
|
|
|
2019-04-19 02:42:41 +02:00
|
|
|
`PRINT(A, 0)
|
|
|
|
|
`PRINT(A, 1)
|
|
|
|
|
`PRINT(A, 2)
|
2019-04-04 03:31:49 +02:00
|
|
|
|
2019-04-19 02:42:41 +02:00
|
|
|
`PRINT(B, 2)
|
|
|
|
|
`PRINT(B, 1)
|
|
|
|
|
`PRINT(B, 3)
|
2019-04-04 03:31:49 +02:00
|
|
|
|
2019-04-19 02:42:41 +02:00
|
|
|
`PRINT(C, 20)
|
|
|
|
|
`PRINT(C, 0)
|
|
|
|
|
`PRINT(C, 19)
|
2019-04-04 03:31:49 +02:00
|
|
|
|
2019-04-19 02:42:41 +02:00
|
|
|
`PRINT(D, 16)
|
|
|
|
|
`PRINT(D, 17)
|
|
|
|
|
`PRINT(D, 18)
|
2019-04-04 03:31:49 +02:00
|
|
|
|
2019-04-19 02:42:41 +02:00
|
|
|
`PRINT(E, 0)
|
|
|
|
|
`PRINT(E, 16)
|
|
|
|
|
`PRINT(E, 17)
|
|
|
|
|
`PRINT(E, 18)
|
|
|
|
|
`PRINT(E, 2)
|
|
|
|
|
`PRINT(E, 3)
|
|
|
|
|
|
2019-04-25 02:34:53 +02:00
|
|
|
`PRINT(F, 1'b0)
|
|
|
|
|
`PRINT(F, 1'b1)
|
2019-04-19 02:42:41 +02:00
|
|
|
|
2019-04-25 02:34:53 +02:00
|
|
|
`PRINT(G, 1'b0)
|
|
|
|
|
`PRINT(G, 1'b1)
|
2019-04-04 03:31:49 +02:00
|
|
|
|
2020-06-05 02:19:21 +02:00
|
|
|
`PRINT(H, 4'b1)
|
|
|
|
|
`PRINT(H, 4'b0)
|
2019-09-11 20:45:41 +02:00
|
|
|
|
2020-06-05 02:19:21 +02:00
|
|
|
`PRINT(I, 'b0)
|
|
|
|
|
`PRINT(I, 'b1)
|
2019-09-26 05:45:18 +02:00
|
|
|
|
2019-04-04 03:31:49 +02:00
|
|
|
end
|
2020-02-15 23:14:57 +01:00
|
|
|
|
|
|
|
|
parameter USE_J = 1;
|
|
|
|
|
generate
|
|
|
|
|
if (USE_J) begin
|
|
|
|
|
reg [31:0] dummyJ;
|
|
|
|
|
initial begin
|
|
|
|
|
`PRINT(J, 0)
|
|
|
|
|
`PRINT(J, 1)
|
|
|
|
|
`PRINT(J, 2)
|
|
|
|
|
end
|
|
|
|
|
end
|
|
|
|
|
else begin
|
|
|
|
|
reg [31:0] dummyZ;
|
|
|
|
|
initial begin
|
|
|
|
|
`PRINT(Z, 0)
|
|
|
|
|
`PRINT(Z, 1)
|
|
|
|
|
`PRINT(Z, 2)
|
|
|
|
|
end
|
|
|
|
|
end
|
|
|
|
|
endgenerate
|
|
|
|
|
|
2019-04-04 03:31:49 +02:00
|
|
|
endmodule
|
2019-04-19 02:42:41 +02:00
|
|
|
|