2021-08-18 18:25:14 +02:00
|
|
|
module mod(input [5:0] x);
|
|
|
|
|
initial #1 $display("%b %b %b", x[4+:2], x[2+:2], x[0+:2]);
|
|
|
|
|
endmodule
|
|
|
|
|
|
2020-02-14 05:59:01 +01:00
|
|
|
module top;
|
|
|
|
|
reg [5:0] a;
|
|
|
|
|
wire [5:0] b;
|
|
|
|
|
always @(*) a = b;
|
2020-06-06 19:42:30 +02:00
|
|
|
|
|
|
|
|
reg x;
|
|
|
|
|
wire [5:0] c;
|
|
|
|
|
wire [5:0] d;
|
|
|
|
|
wire [5:0] e;
|
2021-08-18 18:25:14 +02:00
|
|
|
wire [5:0] f;
|
2020-06-06 19:42:30 +02:00
|
|
|
initial x = 0;
|
2021-08-18 18:25:14 +02:00
|
|
|
assign c = x ? d : !x ? e : f;
|
|
|
|
|
|
|
|
|
|
reg [5:0] l;
|
|
|
|
|
reg [5:0] m;
|
|
|
|
|
reg [5:0] n;
|
|
|
|
|
initial begin
|
|
|
|
|
x = 1;
|
|
|
|
|
l = { 2'bXZ, 2'b01, 2'b10 };
|
|
|
|
|
m = { 2'b01, 2'b10, 2'b11 };
|
|
|
|
|
n = { 2'b10, 2'b00, 2'b10 };
|
|
|
|
|
end
|
|
|
|
|
mod mod(!x ? l : x ? m : n);
|
2020-07-15 06:22:41 +02:00
|
|
|
|
|
|
|
|
generate
|
2021-06-17 21:21:16 +02:00
|
|
|
if (1) begin : A
|
2020-07-15 06:22:41 +02:00
|
|
|
wire [1:0] c [0:2];
|
|
|
|
|
wire [5:0] d;
|
|
|
|
|
end
|
|
|
|
|
endgenerate
|
|
|
|
|
assign A.d = 0;
|
|
|
|
|
initial $display("%b %b", A.c[0], A.d[1:0]);
|
2020-02-14 05:59:01 +01:00
|
|
|
endmodule
|