Cleanups in 070-tileconn

Signed-off-by: Clifford Wolf <clifford@clifford.at>
Signed-off-by: Tim 'mithro' Ansell <mithro@mithis.com>
This commit is contained in:
Clifford Wolf 2017-11-26 02:29:52 +01:00 committed by Tim 'mithro' Ansell
parent 27fd7df5e8
commit f9793df556
2 changed files with 1 additions and 3 deletions

View File

@ -4,5 +4,5 @@ source ${XRAY_GENHEADER}
vivado -mode batch -source ../generate.tcl
# python3 ../generate.py design_*.delta > tilegrid.json
python3 ../generate.py

View File

@ -1,4 +1,3 @@
if 0 {
create_project -force -part $::env(XRAY_PART) design design
read_verilog ../top.v
@ -19,7 +18,6 @@ route_design
write_checkpoint -force design.dcp
# write_bitstream -force design.bit
}
proc print_tile_pair {fp t1 t2} {
set t1 [get_tiles $t1]