Icarus Verilog
Go to file
Lars-Peter Clausen cdc9629ce7 Add support for forward type declarations
SystemVerilog supports forward type declarations. This allows to declare a
type identifier and use it, e.g. in a signal declaration, before declaring
what the actual type is. The type still needs to be fully defined
eventually in the same scope as its forward type declaration. E.g.

```
typedef T;
T x;
typedef int T;
```

The forward type definition can also contain the kind of the type it is
going to be. E.g struct, union, class, etc. The LRM calls this the basic
type. If the actual type is not of the basic type specified in the forward
type declaration this is an error. E.g.

```
typedef struct T;
typedef int T; // Error, int is not a struct
```

It is legal to have more than one forward type declaration for the same
type name, as long as the basic type is the compatible. It is even legal to
have a forward type declaration after the actual type has already been
declared. E.g.

```
typedef T;
typedef int T;
typedef T;
```

Implement support for forward type definitions as part of the new
typedef_t. The basic type will be attached to the typedef_t.

The compatibility of the basic type for multiple forward type declarations
will be checked in the parser. The compatibility of the basic type to the
actual type will be checked during elaboration, once the actual type is
known.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
2022-12-11 10:19:59 -08:00
.github ci: Upgrade macOS runner 2022-09-16 17:37:01 +02:00
Documentation Add -R option to print runtime paths (for now, includedir only) 2022-07-02 18:05:26 -07:00
cadpli Update cppcheck to not run posix and add some -U flags 2021-01-02 13:23:59 -08:00
driver Add -R option to print runtime paths (for now, includedir only) 2022-07-02 18:05:26 -07:00
driver-vpi Fix iverilog-vpi on Windows to handle more than one source file (issue #602) 2022-03-26 18:13:18 +00:00
examples
ivlpp ivlpp: Fix segfault in macro_start_args 2022-10-30 18:02:40 -04:00
ivtest Add regression test for compressed assignment statement in genvar loops 2022-12-04 14:37:21 -08:00
libmisc Use default copy-constructor for LineInfo 2022-04-17 09:14:38 +02:00
libveriuser Update driver and acc/tf directories with changes suggested by cppcheck 2021-01-02 13:45:41 -08:00
msys2 Fix CI on Windows again. 2022-01-07 23:33:41 +00:00
scripts Add the -Wimplicit-dimensions warning. 2016-02-06 16:07:50 -08:00
solaris
tgt-blif Better handling of root selection for BLIF target. 2022-02-27 18:11:00 -08:00
tgt-fpga Update tgt- directories with cppcheck suggested fixes 2021-01-02 13:31:26 -08:00
tgt-null Update cppcheck to not run posix and add some -U flags 2021-01-02 13:23:59 -08:00
tgt-pal Update cppcheck to not run posix and add some -U flags 2021-01-02 13:23:59 -08:00
tgt-pcb Update cppcheck to not run posix and add some -U flags 2021-01-02 13:23:59 -08:00
tgt-sizer Update cppcheck to not run posix and add some -U flags 2021-01-02 13:23:59 -08:00
tgt-stub Add compiler and the start of vvp support for ->> 2021-02-19 23:21:51 -08:00
tgt-verilog Update tgt- directories with cppcheck suggested fixes 2021-01-02 13:31:26 -08:00
tgt-vhdl Remove "using namespace std" from tgt-vhdl header files and fix the fallout. 2021-11-04 17:01:16 +00:00
tgt-vlog95 tgt-vlog95: Consider scopes with only localparams as unique 2022-09-18 17:33:20 +02:00
tgt-vvp Don't implement `packed_width()` for dynamic array types 2022-10-08 13:42:45 +02:00
vhdlpp Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
vpi Fix sscanf overflows 2022-07-04 14:34:14 -07:00
vvp Explicitly refer to std::isnan to avoid clash with system standard library 2022-12-02 16:10:36 +00:00
.gitattributes
.gitignore
AStatement.cc
AStatement.h Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
Attrib.cc
Attrib.h
BUGS.txt Fix broken links to SourceForge bug/patch trackers (GitHub issue #207). 2018-09-28 10:03:06 +01:00
COPYING
HName.cc Have scope auto-rename generate names that use the index numbers 2015-09-29 17:44:28 -07:00
HName.h Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
INSTALL Fix configure scripts to work with autoconf 2.70. 2021-01-01 18:42:01 +00:00
Makefile.in data_type_t: Remove figure_packed_base_type() method 2022-09-15 10:07:10 +02:00
Module.cc Support parameters without default value 2022-02-13 18:21:56 +01:00
Module.h Support parameters without default value 2022-02-13 18:21:56 +01:00
PClass.cc Create new base class for all named items that can be added to a scope. 2019-09-27 22:19:30 +01:00
PClass.h Create new base class for all named items that can be added to a scope. 2019-09-27 22:19:30 +01:00
PDelays.cc Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
PDelays.h Replace svector with std::vector 2022-05-15 21:56:48 +02:00
PEvent.cc Create new base class for all named items that can be added to a scope. 2019-09-27 22:19:30 +01:00
PEvent.h Create new base class for all named items that can be added to a scope. 2019-09-27 22:19:30 +01:00
PExpr.cc Prevent non-blocking writes to fields of automatic structs 2022-10-06 10:25:48 +02:00
PExpr.h Merge pull request #766 from larsclausen/remove-unused 2022-09-14 09:27:00 -07:00
PFunction.cc Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
PGate.cc Support SV [size] dimension for module and gate instances (issue #553). 2021-11-06 00:02:38 +00:00
PGate.h Replace svector with std::vector 2022-05-15 21:56:48 +02:00
PGenerate.cc Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
PGenerate.h Warn when a negative value is assigned to a genvar (-g2001 only) (issue #567) 2021-12-13 21:30:31 +00:00
PModport.cc Create new base class for all named items that can be added to a scope. 2019-09-27 22:19:30 +01:00
PModport.h Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
PNamedItem.cc Create new base class for all named items that can be added to a scope. 2019-09-27 22:19:30 +01:00
PNamedItem.h Create new base class for all named items that can be added to a scope. 2019-09-27 22:19:30 +01:00
PPackage.cc
PPackage.h
PScope.cc Allow to attach additional information to typedefs 2022-12-11 10:18:22 -08:00
PScope.h Allow to attach additional information to typedefs 2022-12-11 10:18:22 -08:00
PSpec.cc
PSpec.h
PTask.cc Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
PTask.h Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
PUdp.cc Replace svector with std::vector 2022-05-15 21:56:48 +02:00
PUdp.h Replace svector with std::vector 2022-05-15 21:56:48 +02:00
PWire.cc Improve handling of type identifier references 2022-10-15 12:32:22 +02:00
PWire.h Improve handling of type identifier references 2022-10-15 12:32:22 +02:00
QUICK_START.txt
README.md Clean up Nonstandard behaviors section of README.md 2022-09-13 20:20:39 -04:00
Statement.cc Replace svector with std::vector 2022-05-15 21:56:48 +02:00
Statement.h Replace svector with std::vector 2022-05-15 21:56:48 +02:00
_pli_types.h.in
acc_user.h
aclocal.m4 Fix configure scripts to work with autoconf 2.70. 2021-01-01 18:42:01 +00:00
async.cc
attributes.txt
autoconf.sh
check.conf
compiler.h Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
config.guess
config.h.in Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
config.sub
configure.ac Use C++11 for building the project 2022-10-15 12:32:02 +02:00
constants.vams
cppcheck.sup Update compiler with suggestions from cppcheck 2021-01-02 14:04:46 -08:00
cprop.cc Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
cygwin.txt
design_dump.cc Handle the error case that the rval fails 2022-04-23 18:52:15 -07:00
developer-quick-start.txt
discipline.cc
discipline.h Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
disciplines.vams
dosify.c More spelling, comments only 2016-04-01 11:02:34 -07:00
dup_expr.cc NetEConstEnum: Remove unused scope_ field 2022-01-17 20:21:28 +01:00
elab_expr.cc Support for range indexing of arrays with swapped ranges within structs 2022-11-15 18:11:18 +01:00
elab_lval.cc Handle assignment to static class properties in class methods 2022-04-12 11:45:25 +02:00
elab_net.cc Replace svector with std::vector 2022-05-15 21:56:48 +02:00
elab_scope.cc Elaborate enum type on demand 2022-12-11 10:18:23 -08:00
elab_sig.cc Improve handling of type identifier references 2022-10-15 12:32:22 +02:00
elab_sig_analog.cc
elab_type.cc Add support for forward type declarations 2022-12-11 10:19:59 -08:00
elaborate.cc Insert drivers for undriven nets 2022-11-29 20:28:03 -08:00
elaborate_analog.cc Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
emit.cc Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
eval_attrib.cc Support full set of constant expressions in attributes 2022-02-12 17:40:45 +01:00
eval_tree.cc eval_tree: Use ivl_assert() instead of assert() 2022-03-03 10:15:32 +01:00
exposenodes.cc Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
expr_synth.cc Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
extensions.txt
functor.cc Update compiler with suggestions from cppcheck 2021-01-02 14:04:46 -08:00
functor.h Update compiler with suggestions from cppcheck 2021-01-02 14:04:46 -08:00
glossary.txt
ieee1364-notes.txt
install-sh
iverilog-vpi.man.in Add library search path option to iverilog-vpi (GitHub issue #145). 2017-01-29 09:32:14 +00:00
iverilog-vpi.sh Use functions instead of macros for VPI routine redirection in Windows. 2019-10-27 09:06:55 +00:00
ivl.def Add `ivl_type_packed_width()` API 2022-10-08 13:42:40 +02:00
ivl_alloc.h Changes for ivl_alloc.h 2015-07-22 09:14:24 -07:00
ivl_assert.h Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
ivl_target.h Add `ivl_type_packed_width()` API 2022-10-08 13:42:40 +02:00
ivl_target.txt
ivl_target_priv.h Allow classes to reference declarations in their enclosing scope(s). 2019-12-22 10:46:38 +00:00
lexor.lex Allow to attach additional information to typedefs 2022-12-11 10:18:22 -08:00
lexor_keyword.gperf Add #include <cstdarg> to all source files that use va_list. 2022-10-12 15:31:35 +13:00
lexor_keyword.h
link_const.cc Correctly handle separate port type declaration for `integer` and `time` 2022-03-28 10:40:06 +02:00
load_module.cc Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
lpm.txt
main.cc Support parameters without default value 2022-02-13 18:21:56 +01:00
mingw-cross.txt Improve mingw cross instructions. 2019-03-24 10:42:36 -07:00
mingw.txt doc: add MSYS2 readme 2020-12-04 01:34:15 +01:00
mkinstalldirs
named.h
net_analog.cc
net_assign.cc Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
net_design.cc Fix assertion failure on multiple instantiation of implicitly real-typed parameter declaration (issue #732) 2022-08-24 15:21:16 +01:00
net_event.cc Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
net_expr.cc Remove unnecessary overrides of `NetExpr::has_width()` 2022-09-14 13:50:31 +02:00
net_func.cc Remove `NetUserFunc::data_type()` 2022-09-14 13:50:20 +02:00
net_func_eval.cc Remove pre C++11 compatibility code for unique_ptr 2022-10-15 12:32:02 +02:00
net_link.cc Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
net_modulo.cc
net_nex_input.cc Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
net_nex_output.cc Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
net_proc.cc Support recursive functions using `return` statement 2022-04-11 22:03:02 +02:00
net_scope.cc Allow to attach additional information to typedefs 2022-12-11 10:18:22 -08:00
net_tran.cc Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
net_udp.cc Replace svector with std::vector 2022-05-15 21:56:48 +02:00
netclass.cc netclass: Make pointer to base class const 2022-03-22 11:42:54 +01:00
netclass.h netclass: Make pointer to base class const 2022-03-22 11:42:54 +01:00
netdarray.cc Improve type compatibility checking for dynamic arrays and queues 2022-10-08 14:01:41 +02:00
netdarray.h Improve type compatibility checking for dynamic arrays and queues 2022-10-08 14:01:41 +02:00
netenum.cc Support type identifier base type for enum 2022-03-25 21:55:34 +01:00
netenum.h Support type identifier base type for enum 2022-03-25 21:55:34 +01:00
netlist.cc Handle context signedness and width expansion for method return types 2022-04-14 11:55:09 +02:00
netlist.h Allow to attach additional information to typedefs 2022-12-11 10:18:22 -08:00
netlist.txt
netmisc.cc Allow implicit cast between dynamic array and queue for all expressions 2022-04-17 09:14:38 +02:00
netmisc.h Elaborate array assignment pattern values in the right context 2022-04-17 09:58:19 +02:00
netparray.cc Improve type compatibility checking for dynamic arrays and queues 2022-10-08 14:01:41 +02:00
netparray.h Improve type compatibility checking for dynamic arrays and queues 2022-10-08 14:01:41 +02:00
netqueue.cc Improve type compatibility checking for dynamic arrays and queues 2022-10-08 14:01:41 +02:00
netqueue.h Improve type compatibility checking for dynamic arrays and queues 2022-10-08 14:01:41 +02:00
netscalar.cc
netscalar.h netreal_t: Report as signed and scalar 2022-04-12 18:48:59 +02:00
netstruct.cc Improve type compatibility checking for dynamic arrays and queues 2022-10-08 14:01:41 +02:00
netstruct.h Improve type compatibility checking for dynamic arrays and queues 2022-10-08 14:01:41 +02:00
nettypes.cc Improve type compatibility checking for dynamic arrays and queues 2022-10-08 14:01:41 +02:00
nettypes.h Improve type compatibility checking for dynamic arrays and queues 2022-10-08 14:01:41 +02:00
netvector.cc Improve type compatibility checking for dynamic arrays and queues 2022-10-08 14:01:41 +02:00
netvector.h Improve type compatibility checking for dynamic arrays and queues 2022-10-08 14:01:41 +02:00
nodangle.cc Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
pad_to_width.cc Add support for explicit cast to enum 2022-01-17 20:21:28 +01:00
parse.y Add support for forward type declarations 2022-12-11 10:19:59 -08:00
parse_api.h Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
parse_misc.cc Add #include <cstdarg> to all source files that use va_list. 2022-10-12 15:31:35 +13:00
parse_misc.h Allow to attach additional information to typedefs 2022-12-11 10:18:22 -08:00
pform.cc Add support for forward type declarations 2022-12-11 10:19:59 -08:00
pform.h Add support for forward type declarations 2022-12-11 10:19:59 -08:00
pform_analog.cc Add #include <cstdarg> to all source files that use va_list. 2022-10-12 15:31:35 +13:00
pform_disciplines.cc Add #include <cstdarg> to all source files that use va_list. 2022-10-12 15:31:35 +13:00
pform_dump.cc Allow to attach additional information to typedefs 2022-12-11 10:18:22 -08:00
pform_package.cc Allow to attach additional information to typedefs 2022-12-11 10:18:22 -08:00
pform_pclass.cc Merge pull request #785 from larsclausen/type-ref 2022-10-16 20:52:52 -07:00
pform_types.cc Add support for forward type declarations 2022-12-11 10:19:59 -08:00
pform_types.h Add support for forward type declarations 2022-12-11 10:19:59 -08:00
property_qual.h
sv_vpi_user.h Add support for vpiInstance as the type code in calls to vpi_iterate(). 2021-04-21 09:01:50 +01:00
swift.txt
symbol_search.cc Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
syn-rules.y Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
sync.cc
synth.cc Add preliminary support for always_comb, always_ff and always_latch 2017-11-20 07:50:05 -08:00
synth2.cc Replace svector with std::vector 2022-05-15 21:56:48 +02:00
sys_funcs.cc Remove static system function return descriptors. 2019-10-21 13:49:19 +01:00
t-dll-analog.cc
t-dll-api.cc Add `ivl_type_packed_width()` API 2022-10-08 13:42:40 +02:00
t-dll-expr.cc Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
t-dll-proc.cc Fix behaviour of fork/join_none with only one statement (issue #672). 2022-04-12 16:54:33 +01:00
t-dll.cc Consider non-overridable parameters as local for the backend 2022-09-18 17:33:20 +02:00
t-dll.h Support recursive functions using `return` statement 2022-04-11 22:03:02 +02:00
t-dll.txt
target.cc Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
target.h Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
util.h Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
va_math.txt
verilog.spec Bump major version to 12. 2020-10-03 10:13:45 +01:00
verinum.cc Fix string handling of escaped special characters. 2022-03-05 18:49:30 -08:00
verinum.h Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
verireal.cc Remove some dead code 2022-02-03 07:04:39 -08:00
verireal.h Remove some dead code 2022-02-03 07:04:39 -08:00
veriuser.h Fix GitHub issue #324 - replace __CYGWIN32__ with __CYGWIN__. 2020-05-10 14:06:53 +01:00
version.c Fix some cppcheck warnings and bugs 2015-12-19 17:19:19 -08:00
version_base.h Bump major version to 12. 2020-10-03 10:13:45 +01:00
vpi.txt Update vpi.txt. 2019-10-23 10:04:58 +01:00
vpi_modules.cc Remove "using namespace std" from compiler header files and fix the fallout. 2021-11-04 16:55:03 +00:00
vpi_user.h Add basic support for vpiBit 2020-05-31 00:27:15 -07:00
xilinx-hint.txt

README.md

The ICARUS Verilog Compilation System

Copyright 2000-2019 Stephen Williams

What is ICARUS Verilog?

Icarus Verilog is intended to compile ALL of the Verilog HDL as described in the IEEE-1364 standard. Of course, it's not quite there yet. It does currently handle a mix of structural and behavioural constructs. For a view of the current state of Icarus Verilog, see its home page at http://iverilog.icarus.com/.

Icarus Verilog is not aimed at being a simulator in the traditional sense, but a compiler that generates code employed by back-end tools.

For instructions on how to run Icarus Verilog, see the iverilog man page.

Building/Installing Icarus Verilog From Source

If you are starting from the source, the build process is designed to be as simple as practical. Someone basically familiar with the target system and C/C++ compilation should be able to build the source distribution with little effort. Some actual programming skills are not required, but helpful in case of problems.

If you are building on Windows, see the mingw.txt file.

Compile Time Prerequisites

You need the following software to compile Icarus Verilog from source on a UNIX-like system:

  • GNU Make The Makefiles use some GNU extensions, so a basic POSIX make will not work. Linux systems typically come with a satisfactory make. BSD based systems (i.e., NetBSD, FreeBSD) typically have GNU make as the gmake program.

  • ISO C++ Compiler The ivl and ivlpp programs are written in C++ and make use of templates and some of the standard C++ library. egcs and recent gcc compilers with the associated libstdc++ are known to work. MSVC++ 5 and 6 are known to definitely not work.

  • bison and flex OSX note: bison 2.3 shipped with MacOS including Catalina generates broken code, but bison 3+ works. We recommend using the Fink project version of bison and flex (finkproject.org), brew version works fine either.

  • gperf 3.0 or later The lexical analyzer doesn't recognize keywords directly, but instead matches symbols and looks them up in a hash table in order to get the proper lexical code. The gperf program generates the lookup table.

    A version problem with this program is the most common cause of difficulty. See the Icarus Verilog FAQ.

  • readline 4.2 or later On Linux systems, this usually means the readline-devel rpm. In any case, it is the development headers of readline that are needed.

  • termcap The readline library, in turn, uses termcap.

    If you are building from git, you will also need software to generate the configure scripts.

  • autoconf 2.53 or later This generates configure scripts from configure.ac. The 2.53 or later versions are known to work, autoconf 2.13 is reported to not work.

Compilation

Unpack the tar-ball and cd into the verilog-######### directory (presumably, that is how you got to this README) and compile the source with the commands:

  ./configure
  make

If you are building from git, you have to run the command below before compiling the source. This will generate the "configure" file, which is automatically done when building from tarball.

  sh autoconf.sh

Normally, this command automatically figures out everything it needs to know. It generally works pretty well. There are a few flags to the configure script that modify its behaviour:

	--prefix=<root>
		The default is /usr/local, which causes the tool suite to
		be compiled for install in /usr/local/bin,
		/usr/local/share/ivl, etc.

		I recommend that if you are configuring for precompiled
		binaries, use --prefix=/usr.  On Solaris systems, it is
		common to use --prefix=/opt.  You can configure for a non-root
		install with --prefix=$HOME.

	--enable-suffix
	--enable-suffix=<your-suffix>
	--disable-suffix
		Enable/disable changing the names of install files to use
		a suffix string so that this version or install can co-
		exist with other versions. This renames the installed
		commands (iverilog, iverilog-vpi, vvp) and the installed
		library files and include directory so that installations
		with the same prefix but different suffix are guaranteed
		to not interfere with each other.

	--host=<host-type>
		Compile iverilog for a different platform. You can use:
				x64_64-w64-mingw32 for building 64-bit Windows executables
				i686-w64-mingw32 for building 32-bit Windows executables
			Both options require installing the required mingw-w64 packages.

(Optional) Testing

To run a simple test before installation, execute

  make check

The commands printed by this run might help you in running Icarus Verilog on your own Verilog sources before the package is installed by root.

Installation

Now install the files in an appropriate place. (The makefiles by default install in /usr/local unless you specify a different prefix with the --prefix=<path> flag to the configure command.) You may need to do this as root to gain access to installation directories.

	make install

Uninstallation

The generated Makefiles also include the uninstall target. This should remove all the files that make install creates.

How Icarus Verilog Works

This tool includes a parser which reads in Verilog (plus extensions) and generates an internal netlist. The netlist is passed to various processing steps that transform the design to more optimal/practical forms, then is passed to a code generator for final output. The processing steps and the code generator are selected by command line switches.

Preprocessing

There is a separate program, ivlpp, that does the preprocessing. This program implements the `include and `define directives producing output that is equivalent but without the directives. The output is a single file with line number directives, so that the actual compiler only sees a single input file. See ivlpp/ivlpp.txt for details.

Parse

The Verilog compiler starts by parsing the Verilog source file. The output of the parse is a list of Module objects in "pform". The pform (see pform.h) is mostly a direct reflection of the compilation step. There may be dangling references, and it is not yet clear which module is the root.

One can see a human-readable version of the final pform by using the -P <path> flag to the ivl subcommand. This will cause ivl to dump the pform into the file named <path>. (Note that this is not normally done, unless debugging the ivl subcommand.)

Elaboration

This phase takes the pform and generates a netlist. The driver selects (by user request or lucky guess) the root module to elaborate, resolves references and expands the instantiations to form the design netlist. (See netlist.txt.) Final semantic checks are performed during elaboration, and some simple optimizations are performed. The netlist includes all the behavioural descriptions, as well as gates and wires.

The elaborate() function performs the elaboration.

One can see a human-readable version of the final, elaborated and optimized netlist by using the -N <path> flag to the compiler. If elaboration succeeds, the final netlist (i.e., after optimizations but before code generation) will be dumped into the file named <path>.

Elaboration is performed in two steps: scopes and parameters first, followed by the structural and behavioural elaboration.

Scope Elaboration

This pass scans through the pform looking for scopes and parameters. A tree of NetScope objects is built up and placed in the Design object, with the root module represented by the root NetScope object. The elab_scope.cc file contains most of the code for handling this phase.

The tail of the elaborate_scope behaviour (after the pform is traversed) includes a scan of the NetScope tree to locate defparam assignments that were collected during scope elaboration. This is when the defparam overrides are applied to the parameters.

Netlist Elaboration

After the scopes and parameters are generated and the NetScope tree fully formed, the elaboration runs through the pform again, this time generating the structural and behavioural netlist. Parameters are elaborated and evaluated by now so all the constants of code generation are now known locally, so the netlist can be generated by simply passing through the pform.

Optimization

This is a collection of processing steps that perform optimizations that do not depend on the target technology. Examples of some useful transformations are

  • eliminate null effect circuitry
  • combinational reduction
  • constant propagation

The actual functions performed are specified on the ivl command line by the -F flags (see below).

Code Generation

This step takes the design netlist and uses it to drive the code generator (see target.h). This may require transforming the design to suit the technology.

The emit() method of the Design class performs this step. It runs through the design elements, calling target functions as the need arises to generate actual output.

The user selects the target code generator with the -t flag on the command line.

ATTRIBUTES

NOTE: The $attribute syntax will soon be deprecated in favour of the Verilog-2001 attribute syntax, which is cleaner and standardized.

The parser accepts, as an extension to Verilog, the $attribute module item. The syntax of the $attribute item is:

	$attribute (<identifier>, <key>, <value>);

The $attribute keyword looks like a system task invocation. The difference here is that the parameters are more restricted than those of a system task. The <identifier> must be an identifier. This will be the item to get an attribute. The <key> and <value> are strings, not expressions, that give the key and the value of the attribute to be attached to the identified object.

Attributes are [<key> <value>] pairs and are used to communicate with the various processing steps. See the documentation for the processing step for a list of the pertinent attributes.

Attributes can also be applied to gate types. When this is done, the attribute is given to every instantiation of the primitive. The syntax for the attribute statement is the same, except that the <identifier> names a primitive earlier in the compilation unit and the statement is placed in the global scope, instead of within a module. The semicolon is not part of a type attribute.

Note that attributes are also occasionally used for communication between processing steps. Processing steps that are aware of others may place attributes on netlist objects to communicate information to later steps.

Icarus Verilog also accepts the Verilog 2001 syntax for attributes. They have the same general meaning as with the $attribute syntax, but they are attached to objects by position instead of by name. Also, the key is a Verilog identifier instead of a string.

Running iverilog

The preferred way to invoke the compiler is with the iverilog(1) command. This program invokes the preprocessor (ivlpp) and the compiler (ivl) with the proper command line options to get the job done in a friendly way. See the iverilog(1) man page for usage details.

EXAMPLES

Example: Compiling "hello.vl"

------------------------ hello.vl ----------------------------
module main();

initial
  begin
    $display("Hi there");
    $finish ;
  end

endmodule

--------------------------------------------------------------

Ensure that iverilog is on your search path, and the vpi library is available.

To compile the program:

	iverilog hello.vl

(The above presumes that /usr/local/include and /usr/local/lib are part of the compiler search path, which is usually the case for gcc.)

To run the program:

	./a.out

You can use the -o switch to name the output command to be generated by the compiler. See the iverilog(1) man page.

Unsupported Constructs

Icarus Verilog is in development - as such it still only supports a (growing) subset of Verilog. Below is a description of some of the currently unsupported Verilog features. This list is not exhaustive and does not account for errors in the compiler. See the Icarus Verilog web page for the current state of support for Verilog, and in particular, browse the bug report database for reported unsupported constructs.

  • System functions are supported, but the return value is a little tricky. See SYSTEM FUNCTION TABLE FILES in the iverilog man page.

  • Specify blocks are parsed but ignored in general.

  • trireg is not supported. tri0 and tri1 are supported.

  • tran primitives, i.e. tran, tranif1, tranif0, rtran, rtranif1 and rtranif0 are not supported.

  • Net delays, of the form wire #N foo; do not work. Delays in every other context do work properly, including the V2001 form wire #5 foo = bar;

  • Event controls inside non-blocking assignments are not supported. i.e.: a <= @(posedge clk) b;

  • Macro arguments are not supported. `define macros are supported, but they cannot take arguments.

Nonstandard Constructs or Behaviors

Icarus Verilog includes some features that are not part of the IEEE1364 standard, but have well-defined meaning, and also sometimes gives nonstandard (but extended) meanings to some features of the language that are defined. See the "extensions.txt" documentation for more details.

  • $is_signed(<expr>)

    This system function returns 1 if the expression contained is signed, or 0 otherwise. This is mostly of use for compiler regression tests.

  • $sizeof(<expr>), $bits(<expr>)

    The $bits system function returns the size in bits of the expression that is its argument. The result of this function is undefined if the argument doesn't have a self-determined size.

    The $sizeof function is deprecated in favour of $bits, which is the same thing, but included in the SystemVerilog definition.

  • $simtime

    The $simtime system function returns as a 64bit value the simulation time, unscaled by the time units of local scope. This is different from the $time and $stime functions which return the scaled times. This function is added for regression testing of the compiler and run time, but can be used by applications who really want the simulation time.

    Note that the simulation time can be confusing if there are lots of different `timescales within a design. It is not in general possible to predict what the simulation precision will turn out to be.

  • $mti_random(), $mti_dist_uniform

    These functions are similar to the IEEE1364 standard $random functions, but they use the Mersenne Twister (MT19937) algorithm. This is considered an excellent random number generator, but does not generate the same sequence as the standardized $random.

Builtin system functions

Certain of the system functions have well-defined meanings, so can theoretically be evaluated at compile-time, instead of using runtime VPI code. Doing so means that VPI cannot override the definitions of functions handled in this manner. On the other hand, this makes them synthesizable, and also allows for more aggressive constant propagation. The functions handled in this manner are:

  • $bits
  • $signed
  • $sizeof
  • $unsigned

Implementations of these system functions in VPI modules will be ignored.

Preprocessing Library Modules

Icarus Verilog does preprocess modules that are loaded from libraries via the -y mechanism. However, the only macros defined during the compilation of that file are those that it defines itself (or includes) or that are defined in the command line or command file.

Specifically, macros defined in the non-library source files are not remembered when the library module is loaded. This is intentional. If it were otherwise, then compilation results might vary depending on the order that libraries are loaded, and that is too unpredictable.

It is said that some commercial compilers do allow macro definitions to span library modules. That's just plain weird.

Width in %t Time Formats

Standard Verilog does not allow width fields in the %t formats of display strings. For example, this is illegal:

	$display("Time is %0t", $time);

Standard Verilog instead relies on the $timeformat to completely specify the format.

Icarus Verilog allows the programmer to specify the field width. The %t format in Icarus Verilog works exactly as it does in standard Verilog. However, if the programmer chooses to specify a minimum width (i.e., %5t), then for that display Icarus Verilog will override the $timeformat minimum width and use the explicit minimum width.

vpiScope iterator on vpiScope objects.

In the VPI, the normal way to iterate over vpiScope objects contained within a vpiScope object, is the vpiInternalScope iterator. Icarus Verilog adds support for the vpiScope iterator of a vpiScope object, that iterates over everything the is contained in the current scope. This is useful in cases where one wants to iterate over all the objects in a scope without iterating over all the contained types explicitly.

time 0 race resolution.

Combinational logic is routinely modelled using always blocks. However, this can lead to race conditions if the inputs to the combinational block are initialized in initial statements. Icarus Verilog slightly modifies time 0 scheduling by arranging for always statements with ANYEDGE sensitivity lists to be scheduled before any other threads. This causes combinational always blocks to be triggered when the values in the sensitivity list are initialized by initial threads.

Nets with Types

Icarus Verilog supports an extended syntax that allows nets and regs to be explicitly typed. The currently supported types are logic, bool and real. This implies that logic and bool are new keywords. Typical syntax is:

	wire real foo = 1.0;
	reg logic bar, bat;

... and so forth. The syntax can be turned off by using the -g2 flag to iverilog, and turned on explicitly with the -g2x flag to iverilog.

CREDITS

Except where otherwise noted, Icarus Verilog, ivl and ivlpp are Copyright Stephen Williams. The proper notices are in the head of each file. However, I have early on received aid in the form of fixes, Verilog guidance, and especially testing from many people. Testers, in particular, include a larger community of people interested in a GPL Verilog for Linux.