OpenSTA/examples/delay_calc.tcl

8 lines
197 B
Tcl
Raw Normal View History

2019-03-21 18:48:50 +01:00
# delay calc example
read_liberty nangate45_slow.lib
2019-03-21 18:48:50 +01:00
read_verilog example1.v
link_design top
create_clock -name clk -period 10 {clk1 clk2 clk3}
set_input_delay -clock clk 0 {in1 in2}
report_checks