2022-12-27 01:19:25 +01:00
|
|
|
# delay calc with spef parasitics
|
2024-10-06 03:12:23 +02:00
|
|
|
read_liberty nangate45_slow.lib.gz
|
2019-03-21 18:48:50 +01:00
|
|
|
read_verilog example1.v
|
|
|
|
|
link_design top
|
|
|
|
|
read_spef example1.dspef
|
|
|
|
|
create_clock -name clk -period 10 {clk1 clk2 clk3}
|
|
|
|
|
set_input_delay -clock clk 0 {in1 in2}
|
|
|
|
|
report_checks
|