verilator/test_regress/t/t_preproc.out

1022 lines
14 KiB
Plaintext

`line 1 "t/t_preproc.v" 1
`line 6 "t/t_preproc.v" 0
`line 8 "t/t_preproc.v" 0
`line 1 "t/t_preproc_inc2.vh" 1
`line 2 "t/t_preproc_inc2.vh" 0
At file "t/t_preproc_inc2.vh" line 5
`line 7 "t/t_preproc_inc2.vh" 0
`line 1 "t/t_preproc_inc3.vh" 1
`line 2 "inc3_a_filename_from_line_directive" 0
`line 7 "inc3_a_filename_from_line_directive" 0
At file "inc3_a_filename_from_line_directive" line 11
`line 13 "inc3_a_filename_from_line_directive" 0
`line 16 "inc3_a_filename_from_line_directive" 0
`line 20 "inc3_a_filename_from_line_directive" 2
`line 7 "t/t_preproc_inc2.vh" 0
`line 9 "t/t_preproc_inc2.vh" 2
`line 8 "t/t_preproc.v" 0
`line 10 "t/t_preproc.v" 0
`line 13 "t/t_preproc.v" 0
/*verilator pass_thru comment*/
`line 15 "t/t_preproc.v" 0
/*verilator pass_thru_comment2*/
`line 17 "t/t_preproc.v" 0
`line 20 "t/t_preproc.v" 0
wire [3:0] q = {
1'b1 ,
1'b0 ,
1'b1 ,
1'b1
};
`line 30 "t/t_preproc.v" 0
text.
`line 32 "t/t_preproc.v" 0
foo bar
foobar2
`line 37 "t/t_preproc.v" 0
`line 41 "t/t_preproc.v" 0
`line 46 "t/t_preproc.v" 0
first part
`line 47 "t/t_preproc.v" 0
second part
`line 47 "t/t_preproc.v" 0
third part
{
`line 48 "t/t_preproc.v" 0
a,
`line 48 "t/t_preproc.v" 0
b,
`line 48 "t/t_preproc.v" 0
c}
Line_Preproc_Check 49
`line 51 "t/t_preproc.v" 0
`line 53 "t/t_preproc.v" 0
`line 55 "t/t_preproc.v" 0
deep deep
`line 59 "t/t_preproc.v" 0
"Inside: `nosubst"
"`nosubst"
`line 64 "t/t_preproc.v" 0
x y LLZZ x y
p q LLZZ p q r s LLZZ r s LLZZ p q LLZZ p q r s LLZZ r s
`line 70 "t/t_preproc.v" 0
firstline comma","line LLZZ firstline comma","line
`line 72 "t/t_preproc.v" 0
x y LLZZ "x" y
`line 75 "t/t_preproc.v" 0
(a,b)(a,b)
`line 78 "t/t_preproc.v" 0
$display("left side: \"right side\"")
`line 81 "t/t_preproc.v" 0
bar_suffix more
`line 84 "t/t_preproc.v" 0
`line 86 "t/t_preproc.v" 0
$c("Zap(\"",bug1,"\");");;
`line 87 "t/t_preproc.v" 0
$c("Zap(\"","bug2","\");");;
`line 89 "t/t_preproc.v" 0
`line 92 "t/t_preproc.v" 0
`line 95 "t/t_preproc.v" 0
initial begin
$display("pre thrupre thrumid thrupost post: \"right side\"");
$display("left side: \"right side\"");
$display("left side: \"right side\"");
$display("left_side: \"right_side\"");
$display("na: \"right_side\"");
$display("prep ( midp1 left_side midp2 ( outp ) ): \"right_side\"");
$display("na: \"nana\"");
$display("left_side right_side: \"left_side right_side\"");
$display(": \"\"");
$display("left side: \"right side\"");
$display("left side: \"right side\"");
$display("standalone");
`line 116 "t/t_preproc.v" 0
$display("twoline: \"first second\"");
$write("*-* All Finished *-*\n");
$finish;
end
endmodule
`line 126 "t/t_preproc.v" 0
`line 129 "t/t_preproc.v" 0
`line 134 "t/t_preproc.v" 0
module add1 ( input wire d1, output wire o1);
`line 135 "t/t_preproc.v" 0
wire tmp_d1 = d1;
`line 135 "t/t_preproc.v" 0
wire tmp_o1 = tmp_d1 + 1;
`line 135 "t/t_preproc.v" 0
assign o1 = tmp_o1 ;
endmodule
module add2 ( input wire d2, output wire o2);
`line 138 "t/t_preproc.v" 0
wire tmp_d2 = d2;
`line 138 "t/t_preproc.v" 0
wire tmp_o2 = tmp_d2 + 1;
`line 138 "t/t_preproc.v" 0
assign o2 = tmp_o2 ;
endmodule
`line 141 "t/t_preproc.v" 0
`line 147 "t/t_preproc.v" 0
`line 152 "t/t_preproc.v" 0
`line 152 "t/t_preproc.v" 0
generate for (i=0; i<(3); i=i+1) begin
`line 152 "t/t_preproc.v" 0
psl cover { m5k.f .ctl._ctl_mvldx_m1.d[i] & ~m5k.f .ctl._ctl_mvldx_m1.q[i] & !m5k.f .ctl._ctl_mvldx_m1.cond & ((m5k.f .ctl.alive & m5k.f .ctl.alive_m1))} report "fondNoRise: m5kc_fcl._ctl_mvldx_m1";
`line 152 "t/t_preproc.v" 0
psl cover { ~m5k.f .ctl._ctl_mvldx_m1.d[i] & m5k.f .ctl._ctl_mvldx_m1.q[i] & !m5k.f .ctl._ctl_mvldx_m1.cond & ((m5k.f .ctl.alive & m5k.f .ctl.alive_m1))} report "fondNoFall: m5kc_fcl._ctl_mvldx_m1";
`line 152 "t/t_preproc.v" 0
end endgenerate
`line 154 "t/t_preproc.v" 0
module prot();
`protected
I!#r#e6<_Q{{E2+]I3<[3s)1@D|'E''i!O?]jD>Jo_![Cl)
#nj1]p,3^1~,="E@QZB\T)eU\pC#C|7=\$J$##A[@-@{Qk]
`line 160 "t/t_preproc.v" 0
`endprotected
endmodule
`line 164 "t/t_preproc.v" 0
module t_lint_pragma_protected;
`line 168 "t/t_preproc.v" 0
`pragma protect begin_protected
`pragma protect version=1
`pragma protect encrypt_agent="XXXXX"
`pragma protect encrypt_agent_info="YYYYY"
`pragma protect data_method="AES128-CBC"
`pragma protect key_keyowner="BIG3#1"
`pragma protect key_keyname="AAAAAA"
`pragma protect key_method="RSA"
`pragma protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`pragma protect key_block
ICAgICAgICAgICAgICAgICAgIEdOVSBMRVNTRVIgR0VORVJBTCBQVUJMSUMgTElDRU5TRQogICAg
KSAyMDA3IE==
`line 181 "t/t_preproc.v" 0
`pragma protect key_keyowner="BIG3#2"
`pragma protect key_keyname="BBBBBB"
`pragma protect key_method="RSA"
`pragma protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`pragma protect key_block
IEV2ZXJ5b25lIGlzIHBlcm1pdHRlZCB0byBjb3B5IGFuZCBkaXN0cmlidXRlIHZlcmJhdGltIGNv
cGllcwogb2YgdGhpcyBsaWNlbnNlIGRvY3VtZW50LCBidXQgY2hhbmdpbmcgaXQgaXMgbm90IGFs
bG93ZWQuCgoKICBUaGl=
`line 190 "t/t_preproc.v" 0
`pragma protect key_keyowner="BIG3#3"
`pragma protect key_keyname="CCCCCCCC"
`pragma protect key_method="RSA"
`pragma protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`pragma protect key_block
TGljZW5zZSBpbmNvcnBvcmF0ZXMKdGhlIHRlcm1zIGFuZCBjb25kaXRpb25zIG9mIHZlcnNpb24g
MyBvZiB0aGUgR05VIEdlbmVyYWwgUHVibGljCkxpY2Vuc2UsIHN1cHBsZW1lbnRlZCBieSB0aGUg
YWRkaXRpb25hbCBwZXJ=
`line 199 "t/t_preproc.v" 0
`pragma protect encoding = (enctype = "BASE64", line_length = 76, bytes = 295)
`pragma protect data_block
aW5pdGlvbnMuCgogIEFzIHVzZWQgaGVyZWluLCAidGhpcyBMaWNlbnNlIiByZWZlcnMgdG8gdmVy
c2lvbiAzIG9mIHRoZSBHTlUgTGVzc2VyCkdlbmVyYWwgUHVibGljIExpY2Vuc2UsIGFuZCB0aGUg
IkdOVSBHUEwiIHJlZmVycyB0byB2ZXJzaW9uIDMgb2YgdGhlIEdOVQpHZW5lcmFsIFB1YmxpYyBM
aWNlbnNlLgoKICAiVGhlIExpYnJhcnkiIHJlZmVycyB0byBhIGNvdmVyZWQgd29yayBnb3Zlcm5l
ZCBieSB0aGlzIExpY2Vuc2UsCm90aGVyIHRoYW4gYW4gQXBwbGljYXRpb24gb3IgYSBDb21iaW5l
ZCBXb3JrIGFzIG==
`line 209 "t/t_preproc.v" 0
`pragma protect end_protected
`line 211 "t/t_preproc.v" 0
endmodule
`line 213 "t/t_preproc.v" 0
`line 223 "t/t_preproc.v" 0
begin addr <= (({regs[6], regs[7]} + 1)); rd <= 1; end and begin addr <= (({regs[6], regs[7]})); wdata <= (rdata); wr <= 1; end
begin addr <= ({regs[6], regs[7]} + 1); rd <= 1; end
begin addr <= ({regs[6], regs[7]}); wdata <= (rdata); wr <= 1; end more
`line 227 "t/t_preproc.v" 0
`line 230 "t/t_preproc.v" 0
`line 1 "t/t_preproc_inc4.vh" 1
`line 2 "t/t_preproc_inc4.vh" 0
`line 6 "t/t_preproc_inc4.vh" 0
`line 8 "t/t_preproc_inc4.vh" 2
`line 230 "t/t_preproc.v" 0
`line 231 "t/t_preproc.v" 0
`line 234 "t/t_preproc.v" 0
`line 236 "t/t_preproc.v" 0
`line 240 "t/t_preproc.v" 0
`line 243 "t/t_preproc.v" 0
$blah("ab,cd","e,f");
$blah(this.logfile,vec);
$blah(this.logfile,vec[1,2,3]);
$blah(this.logfile,{blah.name(), " is not foo"});
`line 249 "t/t_preproc.v" 0
`line 252 "t/t_preproc.v" 0
`pragma foo = 1
`default_nettype none
`default_nettype uwire
`line 256 "t/t_preproc.v" 0
`line 259 "t/t_preproc.v" 0
`line 263 "t/t_preproc.v" 0
Line_Preproc_Check 263
`line 265 "t/t_preproc.v" 0
`line 268 "t/t_preproc.v" 0
(p,q)
`line 275 "t/t_preproc.v" 0
(x,y)
Line_Preproc_Check 276
`line 278 "t/t_preproc.v" 0
`line 281 "t/t_preproc.v" 0
beginend
beginend
"beginend"
`line 289 "t/t_preproc.v" 0
`\esc`def
`line 295 "t/t_preproc.v" 0
Not a \`define
`line 297 "t/t_preproc.v" 0
x,y)--bee submacro has comma paren
`line 305 "t/t_preproc.v" 0
$display("10 %d %d", $bits(foo), 10);
`line 310 "t/t_preproc.v" 0
`line 315 "t/t_preproc.v" 0
`line 318 "t/t_preproc.v" 0
`line 332 "t/t_preproc.v" 0
`line 332 "t/t_preproc.v" 0
`line 332 "t/t_preproc.v" 0
`line 332 "t/t_preproc.v" 0
`line 332 "t/t_preproc.v" 0
`line 332 "t/t_preproc.v" 0
`line 332 "t/t_preproc.v" 0
`line 332 "t/t_preproc.v" 0
`line 332 "t/t_preproc.v" 0
`line 332 "t/t_preproc.v" 0
assign a3 = ~b3 ;
`line 332 "t/t_preproc.v" 0
`line 334 "t/t_preproc.v" 0
\
`line 343 "t/t_preproc.v" 0
`line 343 "t/t_preproc.v" 0
`line 343 "t/t_preproc.v" 0
def i
`line 345 "t/t_preproc.v" 0
`line 347 "t/t_preproc.v" 0
`line 351 "t/t_preproc.v" 0
`line 357 "t/t_preproc.v" 0
1 /*verilator NOT IN DEFINE*/ (nodef)
2 /*verilator PART OF DEFINE*/ (hasdef)
3
`line 359 "t/t_preproc.v" 0
/*verilator NOT PART
OF DEFINE*/ (nodef)
`line 360 "t/t_preproc.v" 0
4
`line 360 "t/t_preproc.v" 0
/*verilator PART
OF DEFINE*/ (nodef)
`line 361 "t/t_preproc.v" 0
5 also in
`line 361 "t/t_preproc.v" 0
also3 (nodef)
HAS a NEW
`line 364 "t/t_preproc.v" 0
LINE
`line 366 "t/t_preproc.v" 0
`line 368 "t/t_preproc.v" 0
`line 381 "t/t_preproc.v" 0
`line 384 "t/t_preproc.v" 0
EXP: clxx_scen
clxx_scen
EXP: clxx_scen
"clxx_scen"
EXP: do if (start("verilog/inc1.v", 25)) begin message({"Blah-", "clx_scen", " end"}); end while(0);
`line 390 "t/t_preproc.v" 0
do
`line 390 "t/t_preproc.v" 0
`line 390 "t/t_preproc.v" 0
`line 390 "t/t_preproc.v" 0
`line 390 "t/t_preproc.v" 0
`line 390 "t/t_preproc.v" 0
if (start("t/t_preproc.v", 390)) begin
`line 390 "t/t_preproc.v" 0
`line 390 "t/t_preproc.v" 0
message({"Blah-", "clx_scen", " end"});
`line 390 "t/t_preproc.v" 0
end
`line 390 "t/t_preproc.v" 0
`line 390 "t/t_preproc.v" 0
while(0);
`line 392 "t/t_preproc.v" 0
`line 394 "t/t_preproc.v" 0
`line 398 "t/t_preproc.v" 0
`line 398 "t/t_preproc.v" 0
`line 399 "t/t_preproc.v" 0
EXP: This is fooed
This is fooed
EXP: This is fooed_2
This is fooed_2
`line 406 "t/t_preproc.v" 0
np
np
`line 417 "t/t_preproc.v" 0
`line 420 "t/t_preproc.v" 0
`line 428 "t/t_preproc.v" 0
`line 432 "t/t_preproc.v" 0
hello3hello3hello3
hello4hello4hello4hello4
`line 438 "t/t_preproc.v" 0
`line 1 "t/t_preproc_inc4.vh" 1
`line 2 "t/t_preproc_inc4.vh" 0
`line 6 "t/t_preproc_inc4.vh" 0
`line 8 "t/t_preproc_inc4.vh" 2
`line 438 "t/t_preproc.v" 0
`line 439 "t/t_preproc.v" 0
`line 447 "t/t_preproc.v" 0
Line_Preproc_Check 451
Line_Preproc_Check 457
"FOO \
BAR " "arg_line1 \
arg_line2" "FOO \
BAR "
`line 460 "t/t_preproc.v" 0
Line_Preproc_Check 460
`line 464 "t/t_preproc.v" 0
abc
`line 474 "t/t_preproc.v" 0
EXP: sonet_frame
sonet_frame
`line 480 "t/t_preproc.v" 0
EXP: sonet_frame
sonet_frame
EXP: sonet_frame
sonet_frame
`line 490 "t/t_preproc.v" 0
EXP: module zzz ; endmodule
module zzz ; endmodule
module zzz ; endmodule
`line 497 "t/t_preproc.v" 0
EXP: module a_b ; endmodule
module a_b ; endmodule
module a_b ; endmodule
`line 502 "t/t_preproc.v" 0
integer foo;
module t;
initial begin : \`LEX_CAT(a[0],_assignment)
`line 514 "t/t_preproc.v" 0
$write("GOT%%m='%m' EXP='%s'\n", "t.\\`LEX_CAT(a[0],_assignment) "); end
initial begin : \a[0]_assignment_a[1]
`line 521 "t/t_preproc.v" 0
$write("GOT%%m='%m' EXP='%s'\n", "t.\\a[0]_assignment_a[1] "); end
initial begin : \`CAT(pp,suffix) $write("GOT%%m='%m' EXP='%s'\n", "t.\\`CAT(pp,suffix) "); end
initial begin : \`CAT(ff,bb)
`line 535 "t/t_preproc.v" 0
$write("GOT%%m='%m' EXP='%s'\n", "t.\\`CAT(ff,bb) "); end
initial begin : \`zzz
`line 541 "t/t_preproc.v" 0
$write("GOT%%m='%m' EXP='%s'\n", "t.\\`zzz "); end
initial begin : \`FOO
`line 548 "t/t_preproc.v" 0
$write("GOT%%m='%m' OTHER_EXP='%s'\n OUR_EXP='%s'", "t.bar ","t.\\`FOO "); end
initial begin : \xx`FOO
`line 550 "t/t_preproc.v" 0
$write("GOT%%m='%m' EXP='%s'\n", "t.\\xx`FOO "); end
initial begin : \`UNKNOWN $write("GOT%%m='%m' EXP='%s'\n", "t.\\`UNKNOWN "); end
initial begin : \`DEF_NO_EXPAND $write("GOT%%m='%m' EXP='%s'\n", "t.\\`DEF_NO_EXPAND "); end
initial $write("GOT='%s' EXP='%s'\n", "foo bar baz", "foo bar baz");
initial $write("GOT='%s' EXP='%s'\n", "foo `A(bar) baz", "foo `A(bar) baz");
initial $write("Slashed=`%s'\n", "1//2.3");
initial
`line 581 "t/t_preproc.v" 0
$display("%s%s","a1","b2c3\n");
endmodule
`line 584 "t/t_preproc.v" 0
`line 587 "t/t_preproc.v" 0
$display("RAM0");
$display("CPU");
`line 592 "t/t_preproc.v" 0
`line 597 "t/t_preproc.v" 0
XXE_FAMILY = XXE_
$display("XXE_ is defined");
`line 604 "t/t_preproc.v" 0
XYE_FAMILY = XYE_
$display("XYE_ is defined");
`line 611 "t/t_preproc.v" 0
XXS_FAMILY = XXS_some
$display("XXS_some is defined");
`line 618 "t/t_preproc.v" 0
XYS_FAMILY = XYS_foo
$display("XYS_foo is defined");
`line 625 "t/t_preproc.v" 0
`line 627 "t/t_preproc.v" 0
`line 635 "t/t_preproc.v" 0
`line 642 "t/t_preproc.v" 0
`line 649 "t/t_preproc.v" 0
`line 656 "t/t_preproc.v" 0
`line 658 "t/t_preproc.v" 0
`line 660 "t/t_preproc.v" 0
(.mySig (myInterface.pa5),
`line 664 "t/t_preproc.v" 0
`line 667 "t/t_preproc.v" 0
`dbg_hdl(UVM_LOW, ("Functional coverage enabled: paramgrp"));
`line 670 "t/t_preproc.v" 0
`line 678 "t/t_preproc.v" 0
module pcc2_cfg;
generate
`line 680 "t/t_preproc.v" 0
covergroup a @(posedge b);
`line 680 "t/t_preproc.v" 0
c: coverpoint d iff ((c) === 1'b1); endgroup
`line 680 "t/t_preproc.v" 0
a u_a;
`line 680 "t/t_preproc.v" 0
initial do begin $display ("DEBUG : %s [%m]", $sformatf ("Functional coverage enabled: u_a")); end while(0);
endgenerate
endmodule
`line 684 "t/t_preproc.v" 0
"`NOT_DEFINED_STR"
`line 689 "t/t_preproc.v" 0
predef 0 0
predef 1 1
predef 2 2
predef 3 3
predef 10 10
predef 11 11
predef 20 20
predef 21 21
predef 22 22
predef 23 23
predef -2 -2
predef -1 -1
predef 0 0
predef 1 1
predef 2 2
`line 711 "t/t_preproc.v" 2