2025-01-01 14:30:25 +01:00
|
|
|
.. Copyright 2003-2025 by Wilson Snyder.
|
2021-04-12 00:55:06 +02:00
|
|
|
.. SPDX-License-Identifier: LGPL-3.0-only OR Artistic-2.0
|
|
|
|
|
|
|
|
|
|
***************
|
|
|
|
|
Input Languages
|
|
|
|
|
***************
|
|
|
|
|
|
|
|
|
|
This section describes the languages Verilator takes as input. See also
|
2025-06-28 02:38:01 +02:00
|
|
|
:ref:`Verilator Control Files`.
|
2021-04-12 00:55:06 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
Language Standard Support
|
|
|
|
|
=========================
|
|
|
|
|
|
|
|
|
|
Verilog 2001 (IEEE 1364-2001) Support
|
|
|
|
|
-------------------------------------
|
|
|
|
|
|
|
|
|
|
Verilator supports most Verilog 2001 language features. This includes
|
|
|
|
|
signed numbers, "always @\*", generate statements, multidimensional arrays,
|
|
|
|
|
localparam, and C-style declarations inside port lists.
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Verilog 2005 (IEEE 1364-2005) Support
|
|
|
|
|
-------------------------------------
|
|
|
|
|
|
|
|
|
|
Verilator supports most Verilog 2005 language features. This includes the
|
|
|
|
|
\`begin_keywords and \`end_keywords compiler directives, $clog2, and the
|
|
|
|
|
uwire keyword.
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
SystemVerilog 2005 (IEEE 1800-2005) Support
|
|
|
|
|
-------------------------------------------
|
|
|
|
|
|
|
|
|
|
Verilator supports ==? and !=? operators, ++ and -- in some contexts,
|
|
|
|
|
$bits, $countbits, $countones, $error, $fatal, $info, $isunknown, $onehot,
|
|
|
|
|
$onehot0, $unit, $warning, always_comb, always_ff, always_latch, bit, byte,
|
|
|
|
|
chandle, const, do-while, enum, export, final, import, int, interface,
|
|
|
|
|
logic, longint, modport, package, program, shortint, struct, time, typedef,
|
|
|
|
|
union, var, void, priority case/if, and unique case/if.
|
|
|
|
|
|
|
|
|
|
It also supports .name and .\* interconnection.
|
|
|
|
|
|
|
|
|
|
Verilator partially supports concurrent assert and cover statements; see
|
2022-12-11 02:09:47 +01:00
|
|
|
the enclosed coverage tests for the allowed syntax.
|
2021-04-12 00:55:06 +02:00
|
|
|
|
|
|
|
|
Verilator has limited support for class and related object-oriented
|
|
|
|
|
constructs.
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
SystemVerilog 2012 (IEEE 1800-2012) Support
|
|
|
|
|
-------------------------------------------
|
|
|
|
|
|
|
|
|
|
Verilator implements a full SystemVerilog-compliant preprocessor, including
|
|
|
|
|
function call-like preprocessor defines, default define arguments,
|
|
|
|
|
\`__FILE__, \`__LINE__ and \`undefineall.
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
SystemVerilog 2017 (IEEE 1800-2017) Support
|
|
|
|
|
-------------------------------------------
|
|
|
|
|
|
2022-12-11 02:09:47 +01:00
|
|
|
Verilator supports the 2017 "for" loop constructs and several cleanups IEEE
|
|
|
|
|
made in 1800-2017.
|
2021-04-12 00:55:06 +02:00
|
|
|
|
|
|
|
|
|
2024-03-02 15:01:37 +01:00
|
|
|
SystemVerilog 2023 (IEEE 1800-2023) Support
|
|
|
|
|
-------------------------------------------
|
|
|
|
|
|
|
|
|
|
Verilator supports some of the 2023 improvements, including triple-quoted
|
|
|
|
|
string blocks that may include newlines and single quotes.
|
|
|
|
|
|
2024-03-02 15:06:22 +01:00
|
|
|
Verilator implements a full IEEE 1800-2023 compliant preprocessor,
|
|
|
|
|
including triple-quoted strings, and \`ifdef expressions.
|
|
|
|
|
|
2024-03-02 15:01:37 +01:00
|
|
|
|
2021-04-12 00:55:06 +02:00
|
|
|
Verilog AMS Support
|
|
|
|
|
-------------------
|
|
|
|
|
|
|
|
|
|
Verilator implements a very small subset of Verilog AMS (Verilog Analog and
|
|
|
|
|
Mixed-Signal Extensions) with the subset corresponding to those VMS
|
2024-03-02 15:05:21 +01:00
|
|
|
keywords with near-equivalents in Verilog IEEE 1364 or SystemVerilog
|
|
|
|
|
IEEE 1800.
|
2021-04-12 00:55:06 +02:00
|
|
|
|
|
|
|
|
AMS parsing is enabled with :vlopt:`--language VAMS <--language>` or
|
|
|
|
|
:vlopt:`--language 1800+VAMS <--language>`.
|
|
|
|
|
|
2022-12-11 02:09:47 +01:00
|
|
|
Verilator implements ceil, exp, floor, ln, log, pow, sqrt, string, and
|
|
|
|
|
wreal.
|
2021-04-12 00:55:06 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
Synthesis Directive Assertion Support
|
|
|
|
|
-------------------------------------
|
|
|
|
|
|
2025-07-04 01:36:28 +02:00
|
|
|
Verilator reads any :code:`//synopsys full_case` or :code:`//synopsys
|
|
|
|
|
parallel_case` directives. The same applies to any :code:`//ambit
|
|
|
|
|
synthesis`, :code:`//cadence` or :code:`//pragma` directives of the same
|
|
|
|
|
form.
|
|
|
|
|
|
|
|
|
|
When these synthesis directives are discovered, unless
|
|
|
|
|
:vlopt:`--no-assert-case` option is used, Verilator will either formally
|
|
|
|
|
prove the directive to be true, or, failing that, will insert the
|
2021-04-12 00:55:06 +02:00
|
|
|
appropriate code to detect failing cases at simulation runtime and print an
|
|
|
|
|
"Assertion failed" error message.
|
|
|
|
|
|
|
|
|
|
Verilator likewise also asserts any "unique" or "priority" SystemVerilog
|
2022-12-11 02:09:47 +01:00
|
|
|
keywords on case statements, as well as "unique" on if statements. However,
|
2022-03-31 02:17:59 +02:00
|
|
|
"priority if" is currently ignored.
|
2021-04-12 00:55:06 +02:00
|
|
|
|
|
|
|
|
|
Timing support (#3363)
Adds timing support to Verilator. It makes it possible to use delays,
event controls within processes (not just at the start), wait
statements, and forks.
Building a design with those constructs requires a compiler that
supports C++20 coroutines (GCC 10, Clang 5).
The basic idea is to have processes and tasks with delays/event controls
implemented as C++20 coroutines. This allows us to suspend and resume
them at any time.
There are five main runtime classes responsible for managing suspended
coroutines:
* `VlCoroutineHandle`, a wrapper over C++20's `std::coroutine_handle`
with move semantics and automatic cleanup.
* `VlDelayScheduler`, for coroutines suspended by delays. It resumes
them at a proper simulation time.
* `VlTriggerScheduler`, for coroutines suspended by event controls. It
resumes them if its corresponding trigger was set.
* `VlForkSync`, used for syncing `fork..join` and `fork..join_any`
blocks.
* `VlCoroutine`, the return type of all verilated coroutines. It allows
for suspending a stack of coroutines (normally, C++ coroutines are
stackless).
There is a new visitor in `V3Timing.cpp` which:
* scales delays according to the timescale,
* simplifies intra-assignment timing controls and net delays into
regular timing controls and assignments,
* simplifies wait statements into loops with event controls,
* marks processes and tasks with timing controls in them as
suspendable,
* creates delay, trigger scheduler, and fork sync variables,
* transforms timing controls and fork joins into C++ awaits
There are new functions in `V3SchedTiming.cpp` (used by `V3Sched.cpp`)
that integrate static scheduling with timing. This involves providing
external domains for variables, so that the necessary combinational
logic gets triggered after coroutine resumption, as well as statements
that need to be injected into the design eval function to perform this
resumption at the correct time.
There is also a function that transforms forked processes into separate
functions.
See the comments in `verilated_timing.h`, `verilated_timing.cpp`,
`V3Timing.cpp`, and `V3SchedTiming.cpp`, as well as the internals
documentation for more details.
Signed-off-by: Krzysztof Bieganski <kbieganski@antmicro.com>
2022-08-22 14:26:32 +02:00
|
|
|
Time
|
|
|
|
|
====
|
|
|
|
|
|
|
|
|
|
With :vlopt:`--timing`, all timing controls are supported:
|
|
|
|
|
|
|
|
|
|
* delay statements,
|
|
|
|
|
* event control statements not only at the top of a process,
|
|
|
|
|
* intra-assignment timing controls,
|
|
|
|
|
* net delays,
|
|
|
|
|
* :code:`wait` statements,
|
|
|
|
|
|
|
|
|
|
as well as all flavors of :code:`fork`.
|
|
|
|
|
|
2022-12-11 02:09:47 +01:00
|
|
|
Compiling a Verilated design that uses these features requires a
|
Timing support (#3363)
Adds timing support to Verilator. It makes it possible to use delays,
event controls within processes (not just at the start), wait
statements, and forks.
Building a design with those constructs requires a compiler that
supports C++20 coroutines (GCC 10, Clang 5).
The basic idea is to have processes and tasks with delays/event controls
implemented as C++20 coroutines. This allows us to suspend and resume
them at any time.
There are five main runtime classes responsible for managing suspended
coroutines:
* `VlCoroutineHandle`, a wrapper over C++20's `std::coroutine_handle`
with move semantics and automatic cleanup.
* `VlDelayScheduler`, for coroutines suspended by delays. It resumes
them at a proper simulation time.
* `VlTriggerScheduler`, for coroutines suspended by event controls. It
resumes them if its corresponding trigger was set.
* `VlForkSync`, used for syncing `fork..join` and `fork..join_any`
blocks.
* `VlCoroutine`, the return type of all verilated coroutines. It allows
for suspending a stack of coroutines (normally, C++ coroutines are
stackless).
There is a new visitor in `V3Timing.cpp` which:
* scales delays according to the timescale,
* simplifies intra-assignment timing controls and net delays into
regular timing controls and assignments,
* simplifies wait statements into loops with event controls,
* marks processes and tasks with timing controls in them as
suspendable,
* creates delay, trigger scheduler, and fork sync variables,
* transforms timing controls and fork joins into C++ awaits
There are new functions in `V3SchedTiming.cpp` (used by `V3Sched.cpp`)
that integrate static scheduling with timing. This involves providing
external domains for variables, so that the necessary combinational
logic gets triggered after coroutine resumption, as well as statements
that need to be injected into the design eval function to perform this
resumption at the correct time.
There is also a function that transforms forked processes into separate
functions.
See the comments in `verilated_timing.h`, `verilated_timing.cpp`,
`V3Timing.cpp`, and `V3SchedTiming.cpp`, as well as the internals
documentation for more details.
Signed-off-by: Krzysztof Bieganski <kbieganski@antmicro.com>
2022-08-22 14:26:32 +02:00
|
|
|
compiler with C++20 coroutine support, e.g. Clang 5, GCC 10, or newer.
|
|
|
|
|
|
|
|
|
|
:code:`#0` delays cause Verilator to issue the :option:`ZERODLY` warning, as
|
|
|
|
|
they work differently than described in the LRM. They do not schedule process
|
|
|
|
|
resumption in the Inactive region, though the process will get resumed in the
|
|
|
|
|
same time slot.
|
|
|
|
|
|
|
|
|
|
Rising/falling/turn-off delays are currently unsupported and cause the
|
|
|
|
|
:option:`RISEFALLDLY` warning.
|
|
|
|
|
|
|
|
|
|
Minimum/typical/maximum delays are currently unsupported. The typical delay is
|
|
|
|
|
always the one chosen. Such expressions cause the :option:`MINTYPMAX` warning.
|
|
|
|
|
|
|
|
|
|
Another consequence of using :vlopt:`--timing` is that the :vlopt:`--main`
|
|
|
|
|
option generates a main file with a proper timing eval loop, eliminating the
|
2022-12-11 02:09:47 +01:00
|
|
|
need for writing any driving C++ code. You can simply compile the
|
Timing support (#3363)
Adds timing support to Verilator. It makes it possible to use delays,
event controls within processes (not just at the start), wait
statements, and forks.
Building a design with those constructs requires a compiler that
supports C++20 coroutines (GCC 10, Clang 5).
The basic idea is to have processes and tasks with delays/event controls
implemented as C++20 coroutines. This allows us to suspend and resume
them at any time.
There are five main runtime classes responsible for managing suspended
coroutines:
* `VlCoroutineHandle`, a wrapper over C++20's `std::coroutine_handle`
with move semantics and automatic cleanup.
* `VlDelayScheduler`, for coroutines suspended by delays. It resumes
them at a proper simulation time.
* `VlTriggerScheduler`, for coroutines suspended by event controls. It
resumes them if its corresponding trigger was set.
* `VlForkSync`, used for syncing `fork..join` and `fork..join_any`
blocks.
* `VlCoroutine`, the return type of all verilated coroutines. It allows
for suspending a stack of coroutines (normally, C++ coroutines are
stackless).
There is a new visitor in `V3Timing.cpp` which:
* scales delays according to the timescale,
* simplifies intra-assignment timing controls and net delays into
regular timing controls and assignments,
* simplifies wait statements into loops with event controls,
* marks processes and tasks with timing controls in them as
suspendable,
* creates delay, trigger scheduler, and fork sync variables,
* transforms timing controls and fork joins into C++ awaits
There are new functions in `V3SchedTiming.cpp` (used by `V3Sched.cpp`)
that integrate static scheduling with timing. This involves providing
external domains for variables, so that the necessary combinational
logic gets triggered after coroutine resumption, as well as statements
that need to be injected into the design eval function to perform this
resumption at the correct time.
There is also a function that transforms forked processes into separate
functions.
See the comments in `verilated_timing.h`, `verilated_timing.cpp`,
`V3Timing.cpp`, and `V3SchedTiming.cpp`, as well as the internals
documentation for more details.
Signed-off-by: Krzysztof Bieganski <kbieganski@antmicro.com>
2022-08-22 14:26:32 +02:00
|
|
|
simulation (perhaps using :vlopt:`--build`) and run it.
|
|
|
|
|
|
|
|
|
|
With :vlopt:`--no-timing`, all timing controls cause the :option:`NOTIMING`
|
2022-12-11 02:09:47 +01:00
|
|
|
error, except:
|
Timing support (#3363)
Adds timing support to Verilator. It makes it possible to use delays,
event controls within processes (not just at the start), wait
statements, and forks.
Building a design with those constructs requires a compiler that
supports C++20 coroutines (GCC 10, Clang 5).
The basic idea is to have processes and tasks with delays/event controls
implemented as C++20 coroutines. This allows us to suspend and resume
them at any time.
There are five main runtime classes responsible for managing suspended
coroutines:
* `VlCoroutineHandle`, a wrapper over C++20's `std::coroutine_handle`
with move semantics and automatic cleanup.
* `VlDelayScheduler`, for coroutines suspended by delays. It resumes
them at a proper simulation time.
* `VlTriggerScheduler`, for coroutines suspended by event controls. It
resumes them if its corresponding trigger was set.
* `VlForkSync`, used for syncing `fork..join` and `fork..join_any`
blocks.
* `VlCoroutine`, the return type of all verilated coroutines. It allows
for suspending a stack of coroutines (normally, C++ coroutines are
stackless).
There is a new visitor in `V3Timing.cpp` which:
* scales delays according to the timescale,
* simplifies intra-assignment timing controls and net delays into
regular timing controls and assignments,
* simplifies wait statements into loops with event controls,
* marks processes and tasks with timing controls in them as
suspendable,
* creates delay, trigger scheduler, and fork sync variables,
* transforms timing controls and fork joins into C++ awaits
There are new functions in `V3SchedTiming.cpp` (used by `V3Sched.cpp`)
that integrate static scheduling with timing. This involves providing
external domains for variables, so that the necessary combinational
logic gets triggered after coroutine resumption, as well as statements
that need to be injected into the design eval function to perform this
resumption at the correct time.
There is also a function that transforms forked processes into separate
functions.
See the comments in `verilated_timing.h`, `verilated_timing.cpp`,
`V3Timing.cpp`, and `V3SchedTiming.cpp`, as well as the internals
documentation for more details.
Signed-off-by: Krzysztof Bieganski <kbieganski@antmicro.com>
2022-08-22 14:26:32 +02:00
|
|
|
|
2023-01-05 03:19:07 +01:00
|
|
|
* delay statements - they are ignored (as they are in synthesis), though they
|
Timing support (#3363)
Adds timing support to Verilator. It makes it possible to use delays,
event controls within processes (not just at the start), wait
statements, and forks.
Building a design with those constructs requires a compiler that
supports C++20 coroutines (GCC 10, Clang 5).
The basic idea is to have processes and tasks with delays/event controls
implemented as C++20 coroutines. This allows us to suspend and resume
them at any time.
There are five main runtime classes responsible for managing suspended
coroutines:
* `VlCoroutineHandle`, a wrapper over C++20's `std::coroutine_handle`
with move semantics and automatic cleanup.
* `VlDelayScheduler`, for coroutines suspended by delays. It resumes
them at a proper simulation time.
* `VlTriggerScheduler`, for coroutines suspended by event controls. It
resumes them if its corresponding trigger was set.
* `VlForkSync`, used for syncing `fork..join` and `fork..join_any`
blocks.
* `VlCoroutine`, the return type of all verilated coroutines. It allows
for suspending a stack of coroutines (normally, C++ coroutines are
stackless).
There is a new visitor in `V3Timing.cpp` which:
* scales delays according to the timescale,
* simplifies intra-assignment timing controls and net delays into
regular timing controls and assignments,
* simplifies wait statements into loops with event controls,
* marks processes and tasks with timing controls in them as
suspendable,
* creates delay, trigger scheduler, and fork sync variables,
* transforms timing controls and fork joins into C++ awaits
There are new functions in `V3SchedTiming.cpp` (used by `V3Sched.cpp`)
that integrate static scheduling with timing. This involves providing
external domains for variables, so that the necessary combinational
logic gets triggered after coroutine resumption, as well as statements
that need to be injected into the design eval function to perform this
resumption at the correct time.
There is also a function that transforms forked processes into separate
functions.
See the comments in `verilated_timing.h`, `verilated_timing.cpp`,
`V3Timing.cpp`, and `V3SchedTiming.cpp`, as well as the internals
documentation for more details.
Signed-off-by: Krzysztof Bieganski <kbieganski@antmicro.com>
2022-08-22 14:26:32 +02:00
|
|
|
do issue a :option:`STMTDLY` warning,
|
2023-01-05 03:19:07 +01:00
|
|
|
* intra-assignment timing controls - they are ignored, though they do issue an
|
Timing support (#3363)
Adds timing support to Verilator. It makes it possible to use delays,
event controls within processes (not just at the start), wait
statements, and forks.
Building a design with those constructs requires a compiler that
supports C++20 coroutines (GCC 10, Clang 5).
The basic idea is to have processes and tasks with delays/event controls
implemented as C++20 coroutines. This allows us to suspend and resume
them at any time.
There are five main runtime classes responsible for managing suspended
coroutines:
* `VlCoroutineHandle`, a wrapper over C++20's `std::coroutine_handle`
with move semantics and automatic cleanup.
* `VlDelayScheduler`, for coroutines suspended by delays. It resumes
them at a proper simulation time.
* `VlTriggerScheduler`, for coroutines suspended by event controls. It
resumes them if its corresponding trigger was set.
* `VlForkSync`, used for syncing `fork..join` and `fork..join_any`
blocks.
* `VlCoroutine`, the return type of all verilated coroutines. It allows
for suspending a stack of coroutines (normally, C++ coroutines are
stackless).
There is a new visitor in `V3Timing.cpp` which:
* scales delays according to the timescale,
* simplifies intra-assignment timing controls and net delays into
regular timing controls and assignments,
* simplifies wait statements into loops with event controls,
* marks processes and tasks with timing controls in them as
suspendable,
* creates delay, trigger scheduler, and fork sync variables,
* transforms timing controls and fork joins into C++ awaits
There are new functions in `V3SchedTiming.cpp` (used by `V3Sched.cpp`)
that integrate static scheduling with timing. This involves providing
external domains for variables, so that the necessary combinational
logic gets triggered after coroutine resumption, as well as statements
that need to be injected into the design eval function to perform this
resumption at the correct time.
There is also a function that transforms forked processes into separate
functions.
See the comments in `verilated_timing.h`, `verilated_timing.cpp`,
`V3Timing.cpp`, and `V3SchedTiming.cpp`, as well as the internals
documentation for more details.
Signed-off-by: Krzysztof Bieganski <kbieganski@antmicro.com>
2022-08-22 14:26:32 +02:00
|
|
|
:option:`ASSIGNDLY` warning,
|
2023-01-05 03:19:07 +01:00
|
|
|
* net delays - they are ignored,
|
Timing support (#3363)
Adds timing support to Verilator. It makes it possible to use delays,
event controls within processes (not just at the start), wait
statements, and forks.
Building a design with those constructs requires a compiler that
supports C++20 coroutines (GCC 10, Clang 5).
The basic idea is to have processes and tasks with delays/event controls
implemented as C++20 coroutines. This allows us to suspend and resume
them at any time.
There are five main runtime classes responsible for managing suspended
coroutines:
* `VlCoroutineHandle`, a wrapper over C++20's `std::coroutine_handle`
with move semantics and automatic cleanup.
* `VlDelayScheduler`, for coroutines suspended by delays. It resumes
them at a proper simulation time.
* `VlTriggerScheduler`, for coroutines suspended by event controls. It
resumes them if its corresponding trigger was set.
* `VlForkSync`, used for syncing `fork..join` and `fork..join_any`
blocks.
* `VlCoroutine`, the return type of all verilated coroutines. It allows
for suspending a stack of coroutines (normally, C++ coroutines are
stackless).
There is a new visitor in `V3Timing.cpp` which:
* scales delays according to the timescale,
* simplifies intra-assignment timing controls and net delays into
regular timing controls and assignments,
* simplifies wait statements into loops with event controls,
* marks processes and tasks with timing controls in them as
suspendable,
* creates delay, trigger scheduler, and fork sync variables,
* transforms timing controls and fork joins into C++ awaits
There are new functions in `V3SchedTiming.cpp` (used by `V3Sched.cpp`)
that integrate static scheduling with timing. This involves providing
external domains for variables, so that the necessary combinational
logic gets triggered after coroutine resumption, as well as statements
that need to be injected into the design eval function to perform this
resumption at the correct time.
There is also a function that transforms forked processes into separate
functions.
See the comments in `verilated_timing.h`, `verilated_timing.cpp`,
`V3Timing.cpp`, and `V3SchedTiming.cpp`, as well as the internals
documentation for more details.
Signed-off-by: Krzysztof Bieganski <kbieganski@antmicro.com>
2022-08-22 14:26:32 +02:00
|
|
|
* event controls at the top of the procedure,
|
|
|
|
|
|
2022-12-11 02:09:47 +01:00
|
|
|
Forks cause this error as well, except:
|
Timing support (#3363)
Adds timing support to Verilator. It makes it possible to use delays,
event controls within processes (not just at the start), wait
statements, and forks.
Building a design with those constructs requires a compiler that
supports C++20 coroutines (GCC 10, Clang 5).
The basic idea is to have processes and tasks with delays/event controls
implemented as C++20 coroutines. This allows us to suspend and resume
them at any time.
There are five main runtime classes responsible for managing suspended
coroutines:
* `VlCoroutineHandle`, a wrapper over C++20's `std::coroutine_handle`
with move semantics and automatic cleanup.
* `VlDelayScheduler`, for coroutines suspended by delays. It resumes
them at a proper simulation time.
* `VlTriggerScheduler`, for coroutines suspended by event controls. It
resumes them if its corresponding trigger was set.
* `VlForkSync`, used for syncing `fork..join` and `fork..join_any`
blocks.
* `VlCoroutine`, the return type of all verilated coroutines. It allows
for suspending a stack of coroutines (normally, C++ coroutines are
stackless).
There is a new visitor in `V3Timing.cpp` which:
* scales delays according to the timescale,
* simplifies intra-assignment timing controls and net delays into
regular timing controls and assignments,
* simplifies wait statements into loops with event controls,
* marks processes and tasks with timing controls in them as
suspendable,
* creates delay, trigger scheduler, and fork sync variables,
* transforms timing controls and fork joins into C++ awaits
There are new functions in `V3SchedTiming.cpp` (used by `V3Sched.cpp`)
that integrate static scheduling with timing. This involves providing
external domains for variables, so that the necessary combinational
logic gets triggered after coroutine resumption, as well as statements
that need to be injected into the design eval function to perform this
resumption at the correct time.
There is also a function that transforms forked processes into separate
functions.
See the comments in `verilated_timing.h`, `verilated_timing.cpp`,
`V3Timing.cpp`, and `V3SchedTiming.cpp`, as well as the internals
documentation for more details.
Signed-off-by: Krzysztof Bieganski <kbieganski@antmicro.com>
2022-08-22 14:26:32 +02:00
|
|
|
|
|
|
|
|
* forks with no statements,
|
|
|
|
|
* :code:`fork..join` or :code:`fork..join_any` with one statement,
|
|
|
|
|
* forks with :vlopt:`--bbox-unsup`.
|
|
|
|
|
|
2022-12-11 02:09:47 +01:00
|
|
|
If neither :vlopt:`--timing` nor :vlopt:`--no-timing` is specified, all
|
|
|
|
|
timing controls cause the :option:`NEEDTIMINGOPT` error, except event
|
|
|
|
|
controls at the top of the process. Forks cause this error as well, except:
|
Timing support (#3363)
Adds timing support to Verilator. It makes it possible to use delays,
event controls within processes (not just at the start), wait
statements, and forks.
Building a design with those constructs requires a compiler that
supports C++20 coroutines (GCC 10, Clang 5).
The basic idea is to have processes and tasks with delays/event controls
implemented as C++20 coroutines. This allows us to suspend and resume
them at any time.
There are five main runtime classes responsible for managing suspended
coroutines:
* `VlCoroutineHandle`, a wrapper over C++20's `std::coroutine_handle`
with move semantics and automatic cleanup.
* `VlDelayScheduler`, for coroutines suspended by delays. It resumes
them at a proper simulation time.
* `VlTriggerScheduler`, for coroutines suspended by event controls. It
resumes them if its corresponding trigger was set.
* `VlForkSync`, used for syncing `fork..join` and `fork..join_any`
blocks.
* `VlCoroutine`, the return type of all verilated coroutines. It allows
for suspending a stack of coroutines (normally, C++ coroutines are
stackless).
There is a new visitor in `V3Timing.cpp` which:
* scales delays according to the timescale,
* simplifies intra-assignment timing controls and net delays into
regular timing controls and assignments,
* simplifies wait statements into loops with event controls,
* marks processes and tasks with timing controls in them as
suspendable,
* creates delay, trigger scheduler, and fork sync variables,
* transforms timing controls and fork joins into C++ awaits
There are new functions in `V3SchedTiming.cpp` (used by `V3Sched.cpp`)
that integrate static scheduling with timing. This involves providing
external domains for variables, so that the necessary combinational
logic gets triggered after coroutine resumption, as well as statements
that need to be injected into the design eval function to perform this
resumption at the correct time.
There is also a function that transforms forked processes into separate
functions.
See the comments in `verilated_timing.h`, `verilated_timing.cpp`,
`V3Timing.cpp`, and `V3SchedTiming.cpp`, as well as the internals
documentation for more details.
Signed-off-by: Krzysztof Bieganski <kbieganski@antmicro.com>
2022-08-22 14:26:32 +02:00
|
|
|
|
|
|
|
|
* forks with no statements,
|
|
|
|
|
* :code:`fork..join` or :code:`fork..join_any` with one statement,
|
|
|
|
|
* forks with :vlopt:`--bbox-unsup`.
|
|
|
|
|
|
|
|
|
|
Timing controls and forks can also be ignored in specific files or parts of
|
|
|
|
|
files. The :option:`/*verilator&32;timing_off*/` and
|
|
|
|
|
:option:`/*verilator&32;timing_off*/` metacomments will make Verilator ignore
|
|
|
|
|
the encompassed timing controls and forks, regardless of the chosen
|
|
|
|
|
:vlopt:`--timing` or :vlopt:`--no-timing` option. This can also be achieved
|
|
|
|
|
using the :option:`timing_off` and :option:`timing_off` options in Verilator
|
2025-06-28 02:38:01 +02:00
|
|
|
Control Files.
|
Timing support (#3363)
Adds timing support to Verilator. It makes it possible to use delays,
event controls within processes (not just at the start), wait
statements, and forks.
Building a design with those constructs requires a compiler that
supports C++20 coroutines (GCC 10, Clang 5).
The basic idea is to have processes and tasks with delays/event controls
implemented as C++20 coroutines. This allows us to suspend and resume
them at any time.
There are five main runtime classes responsible for managing suspended
coroutines:
* `VlCoroutineHandle`, a wrapper over C++20's `std::coroutine_handle`
with move semantics and automatic cleanup.
* `VlDelayScheduler`, for coroutines suspended by delays. It resumes
them at a proper simulation time.
* `VlTriggerScheduler`, for coroutines suspended by event controls. It
resumes them if its corresponding trigger was set.
* `VlForkSync`, used for syncing `fork..join` and `fork..join_any`
blocks.
* `VlCoroutine`, the return type of all verilated coroutines. It allows
for suspending a stack of coroutines (normally, C++ coroutines are
stackless).
There is a new visitor in `V3Timing.cpp` which:
* scales delays according to the timescale,
* simplifies intra-assignment timing controls and net delays into
regular timing controls and assignments,
* simplifies wait statements into loops with event controls,
* marks processes and tasks with timing controls in them as
suspendable,
* creates delay, trigger scheduler, and fork sync variables,
* transforms timing controls and fork joins into C++ awaits
There are new functions in `V3SchedTiming.cpp` (used by `V3Sched.cpp`)
that integrate static scheduling with timing. This involves providing
external domains for variables, so that the necessary combinational
logic gets triggered after coroutine resumption, as well as statements
that need to be injected into the design eval function to perform this
resumption at the correct time.
There is also a function that transforms forked processes into separate
functions.
See the comments in `verilated_timing.h`, `verilated_timing.cpp`,
`V3Timing.cpp`, and `V3SchedTiming.cpp`, as well as the internals
documentation for more details.
Signed-off-by: Krzysztof Bieganski <kbieganski@antmicro.com>
2022-08-22 14:26:32 +02:00
|
|
|
|
|
|
|
|
|
2021-04-12 00:55:06 +02:00
|
|
|
.. _Language Limitations:
|
|
|
|
|
|
|
|
|
|
Language Limitations
|
|
|
|
|
====================
|
|
|
|
|
|
|
|
|
|
This section describes the language limitations of Verilator. Many of these
|
|
|
|
|
restrictions are by intent.
|
|
|
|
|
|
|
|
|
|
Synthesis Subset
|
|
|
|
|
----------------
|
|
|
|
|
|
|
|
|
|
Verilator supports the Synthesis subset with other verification constructs
|
|
|
|
|
being added over time. Verilator also simulates events as Synopsys's Design
|
2022-12-11 02:09:47 +01:00
|
|
|
Compiler would, namely given a block of the form:
|
2021-04-12 00:55:06 +02:00
|
|
|
|
|
|
|
|
.. code-block:: sv
|
|
|
|
|
|
|
|
|
|
always @(x) y = x & z;
|
|
|
|
|
|
2022-12-11 02:09:47 +01:00
|
|
|
This will recompute y when there is a potential for change in x or a change
|
|
|
|
|
in z; that is when the flops computing x or z evaluate (which is what
|
|
|
|
|
Design Compiler will synthesize.) A compliant simulator will only
|
2021-04-12 00:55:06 +02:00
|
|
|
calculate y if x changes. We recommend using always_comb to make the code
|
|
|
|
|
run the same everywhere. Also avoid putting $displays in combo blocks, as
|
|
|
|
|
they may print multiple times when not desired, even on compliant
|
|
|
|
|
simulators as event ordering is not specified.
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Signal Naming
|
|
|
|
|
-------------
|
|
|
|
|
|
|
|
|
|
To avoid conflicts with C symbol naming, any character in a signal name
|
|
|
|
|
that is not alphanumeric nor a single underscore will be replaced by __0hh
|
|
|
|
|
where hh is the hex code of the character. To avoid conflicts with
|
2022-12-11 02:09:47 +01:00
|
|
|
Verilator's internal symbols, any double underscore is replaced with
|
2021-04-12 00:55:06 +02:00
|
|
|
___05F (5F is the hex code of an underscore.)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Bind
|
|
|
|
|
----
|
|
|
|
|
|
2022-02-27 11:01:44 +01:00
|
|
|
Verilator only supports bind to a target module name, not to an
|
2021-04-12 00:55:06 +02:00
|
|
|
instance path.
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Class
|
|
|
|
|
-----
|
|
|
|
|
|
|
|
|
|
Verilator class support is limited but in active development. Verilator
|
2022-12-11 02:09:47 +01:00
|
|
|
supports members, methods, class extend, and class parameters.
|
2021-04-12 00:55:06 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
Dotted cross-hierarchy references
|
|
|
|
|
---------------------------------
|
|
|
|
|
|
2022-12-11 02:09:47 +01:00
|
|
|
Verilator supports dotted references to variables, functions, and tasks in
|
2021-04-12 00:55:06 +02:00
|
|
|
different modules. The portion before the dot must have a constant value;
|
|
|
|
|
for example a[2].b is acceptable, while a[x].b is generally not.
|
|
|
|
|
|
|
|
|
|
References into generated and arrayed instances use the instance names
|
|
|
|
|
specified in the Verilog standard; arrayed instances are named
|
|
|
|
|
``{instanceName}[{instanceNumber}]`` in Verilog, which becomes
|
|
|
|
|
``{instanceName}__BRA__{instanceNumber}__KET__`` inside the generated C++
|
|
|
|
|
code.
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Latches
|
|
|
|
|
-------
|
|
|
|
|
|
2022-12-11 02:09:47 +01:00
|
|
|
Verilator is optimized for edge-sensitive (flop-based) designs. It will
|
2021-04-12 00:55:06 +02:00
|
|
|
attempt to do the correct thing for latches, but most performance
|
|
|
|
|
optimizations will be disabled around the latch.
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Structures and Unions
|
|
|
|
|
---------------------
|
|
|
|
|
|
2023-10-05 02:13:59 +02:00
|
|
|
All structures and unions are scheduled together, which means that
|
|
|
|
|
generating one member of a structure from blocking, and another from
|
|
|
|
|
non-blocking assignments is unsupported.
|
2021-04-12 00:55:06 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
.. _Unknown States:
|
|
|
|
|
|
|
|
|
|
Unknown States
|
|
|
|
|
--------------
|
|
|
|
|
|
2022-12-11 02:09:47 +01:00
|
|
|
Verilator is mostly a two-state simulator, not a four-state simulator.
|
|
|
|
|
However, it has two features that uncover most initialization bugs
|
|
|
|
|
(including many that a four-state simulator will miss.)
|
2021-04-12 00:55:06 +02:00
|
|
|
|
|
|
|
|
Identity comparisons (=== or !==) are converted to standard ==/!= when
|
|
|
|
|
neither side is a constant. This may make the expression yield a different
|
2022-12-11 02:09:47 +01:00
|
|
|
result than a four-state simulator. An === comparison to X will
|
2021-04-12 00:55:06 +02:00
|
|
|
always be false, so that Verilog code which checks for uninitialized logic
|
|
|
|
|
will not fire.
|
|
|
|
|
|
2022-12-11 02:09:47 +01:00
|
|
|
Assigning X to a variable will assign a constant value as determined by the
|
|
|
|
|
:vlopt:`--x-assign` option. This allows runtime randomization; thus, if
|
|
|
|
|
the value is used, the random value should cause downstream errors.
|
|
|
|
|
Integers also get randomized, even though the Verilog 2001 specification
|
|
|
|
|
says they initialize to zero. However, randomization happens at
|
|
|
|
|
initialization time; hence, during a single simulation run, the same
|
|
|
|
|
constant (but random) value will be used every time the assignment is
|
|
|
|
|
executed.
|
2021-04-12 00:55:06 +02:00
|
|
|
|
|
|
|
|
All variables, depending on :vlopt:`--x-initial` setting, are typically
|
2022-12-11 02:09:47 +01:00
|
|
|
randomly initialized using a function. You can determine that reset is
|
|
|
|
|
working correctly by running several random simulation runs. On the first
|
|
|
|
|
run, have the function initialize variables to zero. On the second, have
|
|
|
|
|
it initialize variables to one. On the third and following runs, have it
|
|
|
|
|
initialize them randomly. If the results match, reset works. (Note that
|
|
|
|
|
this is what the hardware will do.) In practice, setting all variables to
|
|
|
|
|
one at startup finds the most problems (since control signals are typically
|
|
|
|
|
active-high).
|
2021-04-12 00:55:06 +02:00
|
|
|
|
|
|
|
|
:vlopt:`--x-assign` applies to variables explicitly initialized or assigned
|
|
|
|
|
an X. Uninitialized clocks are initialized to zero, while all other state
|
2022-12-11 02:09:47 +01:00
|
|
|
holding variables are initialized to a random value. Event-driven
|
2021-04-12 00:55:06 +02:00
|
|
|
simulators will generally trigger an edge on a transition from X to 1
|
|
|
|
|
(posedge) or X to 0 (negedge). However, by default, since clocks are
|
|
|
|
|
initialized to zero, Verilator will not trigger an initial negedge. Some
|
|
|
|
|
code (particularly for reset) may rely on X->0 triggering an edge. The
|
|
|
|
|
:vlopt:`--x-initial-edge` option enables this behavior. Comparing runs with
|
|
|
|
|
and without this option will find such problems.
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Tri/Inout
|
|
|
|
|
---------
|
|
|
|
|
|
|
|
|
|
Verilator converts some simple tristate structures into two state. Pullup,
|
|
|
|
|
pulldown, bufif0, bufif1, notif0, notif1, pmos, nmos, tri0 and tri1 are
|
|
|
|
|
also supported. Simple comparisons with :code:`=== 1'bz` are also
|
|
|
|
|
supported.
|
|
|
|
|
|
|
|
|
|
An assignment of the form:
|
|
|
|
|
|
|
|
|
|
.. code-block:: sv
|
|
|
|
|
|
|
|
|
|
inout driver;
|
|
|
|
|
wire driver = (enable) ? output_value : 1'bz;
|
|
|
|
|
|
|
|
|
|
Will be converted to:
|
|
|
|
|
|
|
|
|
|
.. code-block:: sv
|
|
|
|
|
|
|
|
|
|
input driver; // Value being driven in from "external" drivers
|
|
|
|
|
output driver__en; // True if driven from this module
|
|
|
|
|
output driver__out; // Value being driven from this module
|
|
|
|
|
|
|
|
|
|
External logic will be needed to combine these signals with any external
|
|
|
|
|
drivers.
|
|
|
|
|
|
|
|
|
|
Tristate drivers are not supported inside functions and tasks; an inout
|
2022-12-11 02:09:47 +01:00
|
|
|
there will be considered a two-state variable that is read and written
|
|
|
|
|
instead of a four-state variable.
|
2021-04-12 00:55:06 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
Gate Primitives
|
|
|
|
|
---------------
|
|
|
|
|
|
|
|
|
|
The 2-state gate primitives (and, buf, nand, nor, not, or, xnor, xor) are
|
|
|
|
|
directly converted to behavioral equivalents. The 3-state and MOS gate
|
2025-04-16 13:34:04 +02:00
|
|
|
primitives are not supported. User-defined primitive (UDP) tables are
|
|
|
|
|
supported.
|
2021-04-12 00:55:06 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
Specify blocks
|
|
|
|
|
--------------
|
|
|
|
|
|
|
|
|
|
All specify blocks and timing checks are ignored. All min:typ:max delays
|
|
|
|
|
use the typical value.
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Array Initialization
|
|
|
|
|
--------------------
|
|
|
|
|
|
|
|
|
|
When initializing a large array, you need to use non-delayed assignments.
|
|
|
|
|
Verilator will tell you when this needs to be fixed; see the BLKLOOPINIT
|
|
|
|
|
error for more information.
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Array Out of Bounds
|
|
|
|
|
-------------------
|
|
|
|
|
|
2022-12-11 02:09:47 +01:00
|
|
|
Writing a memory element outside the bounds specified for the array may
|
|
|
|
|
cause a different memory element inside the array to be written instead.
|
|
|
|
|
For power-of-2 sized arrays, Verilator will give a width warning and the
|
|
|
|
|
address. For non-power-of-2-sizes arrays, index 0 will be written.
|
2021-04-12 00:55:06 +02:00
|
|
|
|
2022-12-11 02:09:47 +01:00
|
|
|
Reading a memory element outside the bounds specified for the array will
|
|
|
|
|
give a width warning and wrap around the power-of-2 size. For
|
2022-12-10 03:01:33 +01:00
|
|
|
non-power-of-2 sizes, it will return an unspecified constant of the
|
2021-04-12 00:55:06 +02:00
|
|
|
appropriate width.
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Assertions
|
|
|
|
|
----------
|
|
|
|
|
|
|
|
|
|
Verilator is beginning to add support for assertions. Verilator currently
|
|
|
|
|
only converts assertions to simple :code:`if (...) error` statements, and
|
|
|
|
|
coverage statements to increment the line counters described in the
|
|
|
|
|
coverage section.
|
|
|
|
|
|
|
|
|
|
Verilator does not support SEREs yet. All assertion and coverage
|
|
|
|
|
statements must be simple expressions that complete in one cycle.
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Encrypted Verilog
|
|
|
|
|
-----------------
|
|
|
|
|
|
2022-12-11 02:09:47 +01:00
|
|
|
Open-source simulators like Verilator cannot use encrypted RTL
|
2021-04-12 00:55:06 +02:00
|
|
|
(i.e. IEEE P1735). Talk to your IP vendor about delivering IP blocks via
|
|
|
|
|
Verilator's :vlopt:`--protect-lib` feature.
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
Language Keyword Limitations
|
|
|
|
|
============================
|
|
|
|
|
|
|
|
|
|
This section describes specific limitations for each language keyword.
|
|
|
|
|
|
|
|
|
|
.. Hack to get long definition list:
|
|
|
|
|
.. |cmdslong1| replace:: \`__FILE__, \`__LINE__, \`begin_keywords,
|
|
|
|
|
\`begin_keywords, \`begin_keywords, \`begin_keywords, \`begin_keywords,
|
|
|
|
|
\`define, \`else, \`elsif, \`end_keywords, \`endif, \`error, \`ifdef,
|
|
|
|
|
\`ifndef, \`include, \`line, \`systemc_ctor, \`systemc_dtor,
|
2025-03-29 03:24:39 +01:00
|
|
|
\`systemc_header, \`systemc_header_post, \`systemc_imp_header,
|
|
|
|
|
\`systemc_implementation, \`systemc_interface, \`undef, \`verilog
|
2021-04-12 00:55:06 +02:00
|
|
|
|
|
|
|
|
|cmdslong1|
|
|
|
|
|
Fully supported.
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
.. Hack to get long definition list:
|
|
|
|
|
|
|
|
|
|
.. |cmdslong2| replace:: always, always_comb, always_ff, always_latch, and,
|
|
|
|
|
assign, begin, buf, byte, case, casex, casez, default, defparam,
|
|
|
|
|
do-while, else, end, endcase, endfunction, endgenerate, endmodule,
|
|
|
|
|
endspecify, endtask, final, for, function, generate, genvar, if,
|
|
|
|
|
initial, inout, input, int, integer, localparam, logic, longint,
|
|
|
|
|
macromodule, module, nand, negedge, nor, not, or, output, parameter,
|
|
|
|
|
posedge, reg, scalared, shortint, signed, supply0, supply1, task, time,
|
|
|
|
|
tri, typedef, var, vectored, while, wire, xnor, xor
|
|
|
|
|
|
|
|
|
|
|cmdslong2|
|
|
|
|
|
Generally supported.
|
|
|
|
|
|
|
|
|
|
++, -- operators
|
|
|
|
|
Increment/decrement can only be used as standalone statements or in
|
|
|
|
|
certain limited cases.
|
|
|
|
|
|
|
|
|
|
'{} operator
|
2022-12-11 02:09:47 +01:00
|
|
|
Assignment patterns with an order based, default, constant integer (array)
|
2021-04-12 00:55:06 +02:00
|
|
|
or member identifier (struct/union) keys are supported. Data type keys
|
2022-12-11 02:09:47 +01:00
|
|
|
and keys computed from a constant expression are not supported.
|
2021-04-12 00:55:06 +02:00
|
|
|
|
|
|
|
|
\`uselib
|
2022-12-11 02:09:47 +01:00
|
|
|
Uselib, a vendor-specific library specification method, is ignored along
|
2021-04-12 00:55:06 +02:00
|
|
|
with anything following it until the end of that line.
|
|
|
|
|
|
|
|
|
|
cast operator
|
|
|
|
|
Casting is supported only between simple scalar types, signed and
|
|
|
|
|
unsigned, not arrays nor structs.
|
|
|
|
|
|
|
|
|
|
chandle
|
2022-12-11 02:09:47 +01:00
|
|
|
Treated as a "longint"; does not yet warn about operations specified as
|
|
|
|
|
illegal on chandles.
|
2021-04-12 00:55:06 +02:00
|
|
|
|
2023-02-11 20:31:28 +01:00
|
|
|
checker
|
|
|
|
|
Treated as a "module"; does not yet warn about many constructs illegal
|
|
|
|
|
inside a checker.
|
|
|
|
|
|
2021-04-12 00:55:06 +02:00
|
|
|
disable
|
|
|
|
|
Disable statements may be used only if the block being disabled is a
|
|
|
|
|
block the disable statement itself is inside. This was commonly used to
|
|
|
|
|
provide loop break and continue functionality before SystemVerilog added
|
|
|
|
|
the break and continue keywords.
|
|
|
|
|
|
2023-10-05 02:13:59 +02:00
|
|
|
force, release
|
|
|
|
|
Verilator supports the procedural `force` (and corresponding `release`)
|
|
|
|
|
statement. However, the behavior of the `force` statement does not
|
2025-03-04 16:12:02 +01:00
|
|
|
entirely comply with IEEE 1800-2023:
|
2025-03-08 00:03:01 +01:00
|
|
|
|
|
|
|
|
#. Using forced variable as a value to another force statement is
|
|
|
|
|
currently not supported. The dependent force statement is forced by an
|
|
|
|
|
initial constant value.
|
|
|
|
|
|
|
|
|
|
#. Force/release with procedural continuous assignment is not supported.
|
|
|
|
|
The assignment is treated as procedural.
|
|
|
|
|
|
|
|
|
|
#. Expressions using multiple variable references or function calls on
|
2025-03-04 16:12:02 +01:00
|
|
|
forced right-hand side are not sensitive to dependency changes.
|
2023-10-05 02:13:59 +02:00
|
|
|
|
2021-04-12 00:55:06 +02:00
|
|
|
inside
|
|
|
|
|
Inside expressions may not include unpacked array traversal or $ as an
|
|
|
|
|
upper bound. Case inside and case matches are also unsupported.
|
|
|
|
|
|
|
|
|
|
interface
|
2022-12-11 02:09:47 +01:00
|
|
|
Interfaces and modports, including generated data types are
|
2021-04-12 00:55:06 +02:00
|
|
|
supported. Generate blocks around modports are not supported, nor are
|
|
|
|
|
virtual interfaces nor unnamed interfaces.
|
|
|
|
|
|
|
|
|
|
shortreal
|
|
|
|
|
Short floating point (shortreal) numbers are converted to real. Most
|
|
|
|
|
other simulators either do not support float, or convert likewise.
|
|
|
|
|
|
|
|
|
|
specify specparam
|
2025-06-30 02:17:27 +02:00
|
|
|
All timing checks and specify blocks (except specparam, which is
|
|
|
|
|
supported) are ignored.
|
2021-04-12 00:55:06 +02:00
|
|
|
|
|
|
|
|
uwire
|
2022-12-11 02:09:47 +01:00
|
|
|
Verilator does not perform warning checking on uwires; it treats the
|
2021-04-12 00:55:06 +02:00
|
|
|
uwire keyword as if it were the normal wire keyword.
|
|
|
|
|
|
|
|
|
|
$bits, $countbits, $countones, $finish, $isunknown, $onehot, $onehot0, $signed, $stime, $stop, $time, $unsigned,
|
|
|
|
|
Generally supported.
|
|
|
|
|
|
|
|
|
|
$dump/$dumpports and related
|
2022-12-11 02:09:47 +01:00
|
|
|
$dumpfile or $dumpports will create a VCD or FST file (based on
|
2025-04-05 16:46:39 +02:00
|
|
|
the :vlopt:`--trace-vcd` option given when the model was Verilated). This
|
2021-04-12 00:55:06 +02:00
|
|
|
will take effect starting at the next eval() call. If you have multiple
|
2022-12-11 02:09:47 +01:00
|
|
|
Verilated designs under the same C model, this will dump signals
|
2021-04-12 00:55:06 +02:00
|
|
|
only from the design containing the $dumpvars.
|
|
|
|
|
|
|
|
|
|
$dumpvars and $dumpports module identifier is ignored; the traced
|
|
|
|
|
instances will always start at the top of the design. The levels argument
|
2022-12-11 02:09:47 +01:00
|
|
|
is also ignored; use tracing_on/tracing_off pragmas instead.
|
2021-04-12 00:55:06 +02:00
|
|
|
|
|
|
|
|
$dumpportson/$dumpportsoff/$dumpportsall/$dumpportslimit filename
|
2022-12-11 02:09:47 +01:00
|
|
|
argument is ignored; only a single trace file may be active at once.
|
2021-04-12 00:55:06 +02:00
|
|
|
|
|
|
|
|
$dumpall/$dumpportsall, $dumpon/$dumpportson, $dumpoff/$dumpportsoff, and
|
|
|
|
|
$dumplimit/$dumpportlimit are currently ignored.
|
|
|
|
|
|
|
|
|
|
$error, $fatal, $info, $warning.
|
|
|
|
|
Generally supported.
|
|
|
|
|
|
|
|
|
|
$exit, $finish, $stop
|
2022-12-11 02:09:47 +01:00
|
|
|
The rarely used optional parameter to $finish and $stop is ignored; $exit
|
2021-04-12 00:55:06 +02:00
|
|
|
is aliased to $finish.
|
|
|
|
|
|
|
|
|
|
$fopen, $fclose, $fdisplay, $ferror, $feof, $fflush, $fgetc, $fgets, $fscanf, $fwrite, $fscanf, $sscanf
|
|
|
|
|
Generally supported.
|
|
|
|
|
|
|
|
|
|
$fullskew, $hold, $nochange, $period, $recovery, $recrem, $removal, $setup, $setuphold, $skew, $timeskew, $width
|
|
|
|
|
All specify blocks and timing checks are ignored.
|
|
|
|
|
|
|
|
|
|
$random, $urandom, $urandom_range
|
|
|
|
|
Use :vlopt:`+verilator+seed+\<value\>` runtime option to set the seed if
|
|
|
|
|
there is no $random nor $urandom optional argument to set the seed.
|
|
|
|
|
There is one random seed per C thread, not per module for $random, nor
|
|
|
|
|
per object for random stability of $urandom/$urandom_range.
|
|
|
|
|
|
|
|
|
|
$readmemb, $readmemh
|
2022-12-11 02:09:47 +01:00
|
|
|
Read memory commands are supported. Verilator and the Verilog
|
|
|
|
|
specification do not include support for readmem to multi-dimensional
|
2021-04-12 00:55:06 +02:00
|
|
|
arrays.
|
|
|
|
|
|
|
|
|
|
$test$plusargs, $value$plusargs
|
|
|
|
|
Supported, but the instantiating C++/SystemC wrapper must call
|
|
|
|
|
|
|
|
|
|
.. code-block:: C++
|
|
|
|
|
|
2022-07-09 15:50:50 +02:00
|
|
|
{VerilatedContext*} ->commandArgs(argc, argv);
|
2021-04-12 00:55:06 +02:00
|
|
|
|
|
|
|
|
to register the command line before calling $test$plusargs or
|
2025-05-03 11:30:40 +02:00
|
|
|
$value$plusargs. Or use :vlopt:`--binary` or :vlopt:`--main`.
|