2020-02-09 19:42:45 +01:00
|
|
|
module Module(input wire x);
|
2020-02-10 03:57:09 +01:00
|
|
|
initial $display("Module %d", x);
|
2020-02-09 19:42:45 +01:00
|
|
|
endmodule
|
|
|
|
|
module top;
|
2020-06-20 02:32:37 +02:00
|
|
|
wire i_x = 0;
|
2020-02-10 03:57:09 +01:00
|
|
|
localparam SOME_VAL = 3;
|
|
|
|
|
initial $display("Interface %d %d", i_x, SOME_VAL);
|
2020-02-09 19:42:45 +01:00
|
|
|
Module m(.x(i_x));
|
2020-02-10 03:57:09 +01:00
|
|
|
generate
|
|
|
|
|
genvar g;
|
2020-06-20 02:32:37 +02:00
|
|
|
for (g = 10; g < 15; g = g + 1) begin
|
2020-02-10 03:57:09 +01:00
|
|
|
initial $display(g);
|
|
|
|
|
end
|
2020-06-20 02:32:37 +02:00
|
|
|
for (g = 0; g < 5; g = g + 1) begin
|
2020-02-10 03:57:09 +01:00
|
|
|
initial $display(g);
|
|
|
|
|
end
|
|
|
|
|
endgenerate
|
2020-02-09 19:42:45 +01:00
|
|
|
endmodule
|