2019-09-19 05:35:15 +02:00
|
|
|
`define TEST(value) \
|
|
|
|
|
wire [63:0] val_``value = {64{1'b``value}}; \
|
2020-06-15 03:43:32 +02:00
|
|
|
initial $display(`"'value -> %b (%0d) %b (%0d)", \
|
|
|
|
|
val_``value, $bits(val_``value), \
|
|
|
|
|
1'b``value, $bits(1'b``value) \
|
|
|
|
|
);
|
2019-09-19 05:35:15 +02:00
|
|
|
|
|
|
|
|
module top;
|
|
|
|
|
`TEST(1)
|
|
|
|
|
`TEST(0)
|
|
|
|
|
`TEST(x)
|
|
|
|
|
`TEST(z)
|
2020-06-06 03:40:59 +02:00
|
|
|
|
|
|
|
|
reg flag;
|
|
|
|
|
reg [31:0] i;
|
|
|
|
|
reg [31:0] a;
|
|
|
|
|
reg [31:0] b;
|
|
|
|
|
reg [31:0] c;
|
2020-06-15 03:43:32 +02:00
|
|
|
reg [63:0] j;
|
|
|
|
|
reg [63:0] d;
|
|
|
|
|
reg [63:0] e;
|
2020-06-06 03:40:59 +02:00
|
|
|
initial begin
|
|
|
|
|
i = 42;
|
2020-06-15 03:43:32 +02:00
|
|
|
j = 42;
|
2020-06-06 03:40:59 +02:00
|
|
|
flag = 1;
|
|
|
|
|
a = (flag ? 32'hFFFFFFFF : i);
|
2020-06-06 23:01:27 +02:00
|
|
|
b = (flag ? 32'hXXXXXXXX : i);
|
2020-06-06 03:40:59 +02:00
|
|
|
c = (flag ? 32'hFFFFFFFF: i);
|
2020-06-15 03:43:32 +02:00
|
|
|
d = (flag ? 64'hFFFFFFFFFFFFFFFF : j);
|
|
|
|
|
e = (flag ? 64'hXXXXXXXXXXXXXXXX : j);
|
2020-06-06 03:40:59 +02:00
|
|
|
$display("%b", a);
|
|
|
|
|
$display("%b", b);
|
|
|
|
|
$display("%b", c);
|
2020-06-15 03:43:32 +02:00
|
|
|
$display("%b", d);
|
|
|
|
|
$display("%b", e);
|
|
|
|
|
end
|
|
|
|
|
|
|
|
|
|
initial begin
|
|
|
|
|
$display("%b", 4'b1xz0);
|
|
|
|
|
$display("%b", {4'b1xz0, 4'b1xz0});
|
|
|
|
|
end
|
|
|
|
|
|
|
|
|
|
initial begin
|
|
|
|
|
$display(1);
|
|
|
|
|
$display(1);
|
|
|
|
|
$display(1);
|
|
|
|
|
$display(1);
|
2020-06-06 03:40:59 +02:00
|
|
|
end
|
2019-09-19 05:35:15 +02:00
|
|
|
endmodule
|