Changed P&R directive to "quick"

Signed-off-by: Maciej Kurc <mkurc@antmicro.com>
This commit is contained in:
Maciej Kurc 2020-04-24 10:12:33 +02:00
parent 3d44405216
commit 906bae2b31
1 changed files with 2 additions and 2 deletions

View File

@ -44,9 +44,9 @@ proc run {} {
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets]
place_design
place_design -directive Quick
write_checkpoint -force design_before_route.dcp
route_design
route_design -directive Quick
write_checkpoint -force design.dcp
write_bitstream -force design.bit