diff --git a/fuzzers/037-iob-pips/generate.tcl b/fuzzers/037-iob-pips/generate.tcl index 8d47925f..1aab4b0b 100644 --- a/fuzzers/037-iob-pips/generate.tcl +++ b/fuzzers/037-iob-pips/generate.tcl @@ -44,9 +44,9 @@ proc run {} { set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets] - place_design + place_design -directive Quick write_checkpoint -force design_before_route.dcp - route_design + route_design -directive Quick write_checkpoint -force design.dcp write_bitstream -force design.bit