mirror of https://github.com/openXC7/prjxray.git
7 lines
154 B
Tcl
7 lines
154 B
Tcl
|
|
open_checkpoint harness_impl.dcp
|
||
|
|
read_checkpoint -cell roi [lindex $argv 0]
|
||
|
|
opt_design
|
||
|
|
place_design
|
||
|
|
route_design
|
||
|
|
write_checkpoint -force [lindex $argv 1]
|