Updating artix7 based on "Merge pull request #836 from antmicro/sdf-sort".

This updates the timing SDF files to be sorted (should be easier to read
diffs of the SDF files in the future).

See [Info File](Info.md) for details.
This commit is contained in:
Tim 'mithro' Ansell 2019-05-14 17:28:55 -07:00
parent e29750043d
commit 34ea6eb08a
41 changed files with 11828 additions and 11816 deletions

100
Info.md
View File

@ -37,20 +37,20 @@ These files are released under the very permissive [CC0 1.0 Universal](COPYING).
# Details
Last updated on Mon Apr 29 21:36:42 UTC 2019 (2019-04-29T21:36:42+00:00).
Last updated on Wed May 15 00:27:44 UTC 2019 (2019-05-15T00:27:44+00:00).
Created using [Project X-Ray](https://github.com/SymbiFlow/prjxray) version [27bba88](https://github.com/SymbiFlow/prjxray/commit/27bba88fc8ac6b08dc83a9298ef6f227c5a0d46e).
Created using [Project X-Ray](https://github.com/SymbiFlow/prjxray) version [6e895f3](https://github.com/SymbiFlow/prjxray/commit/6e895f39c003e929942cc6af8c1378d14238d34f).
Latest commit was;
```
commit 27bba88fc8ac6b08dc83a9298ef6f227c5a0d46e
Merge: 938f378 85060dd
commit 6e895f39c003e929942cc6af8c1378d14238d34f
Merge: e7ce84a e5d2a65
Author: Tim Ansell <me@mith.ro>
Date: Mon Apr 29 09:30:05 2019 -0700
Date: Tue May 14 17:24:43 2019 -0700
Merge pull request #810 from mithro/import-wiki
Merge pull request #836 from antmicro/sdf-sort
Fixing issues from wiki import
utils: makesdf: sort the output
```
@ -59,7 +59,7 @@ Date: Mon Apr 29 09:30:05 2019 -0700
### Settings
Created using following [settings/artix7.sh (sha256: 26e1ff93657132928a3b583c95347267d7afeb18a7b7036f0d7a6ea3367ae803)](https://github.com/SymbiFlow/prjxray/blob/27bba88fc8ac6b08dc83a9298ef6f227c5a0d46e/settings/artix7.sh)
Created using following [settings/artix7.sh (sha256: 26e1ff93657132928a3b583c95347267d7afeb18a7b7036f0d7a6ea3367ae803)](https://github.com/SymbiFlow/prjxray/blob/6e895f39c003e929942cc6af8c1378d14238d34f/settings/artix7.sh)
```shell
export XRAY_DATABASE="artix7"
export XRAY_PART="xc7a50tfgg484-1"
@ -361,46 +361,46 @@ Results have checksums;
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/BRKH_TERM_INT.sdf`](./artix7/timings/BRKH_TERM_INT.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/B_TERM_INT.sdf`](./artix7/timings/B_TERM_INT.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/CFG_CENTER_BOT.sdf`](./artix7/timings/CFG_CENTER_BOT.sdf)
* [`da68ee39b0b3d97cccf9a57607156e26947ba0b75d769f024c3a94b990838cc2 ./artix7/timings/CFG_CENTER_MID.sdf`](./artix7/timings/CFG_CENTER_MID.sdf)
* [`a6ee3d276725de5965953133b3298674badd2d9a892043cd67380bef3be9a840 ./artix7/timings/CFG_CENTER_TOP.sdf`](./artix7/timings/CFG_CENTER_TOP.sdf)
* [`0b73ca38e6c462bd7567c2dea04e8b721bd14d3c0d96ec3d8718eed107e73c8c ./artix7/timings/CLBLL_L.sdf`](./artix7/timings/CLBLL_L.sdf)
* [`0b73ca38e6c462bd7567c2dea04e8b721bd14d3c0d96ec3d8718eed107e73c8c ./artix7/timings/CLBLL_R.sdf`](./artix7/timings/CLBLL_R.sdf)
* [`76e4fbdcdc31addedea51d1888128b638df941a091cec42dc6da9224ba5ecdd3 ./artix7/timings/CLBLM_L.sdf`](./artix7/timings/CLBLM_L.sdf)
* [`76e4fbdcdc31addedea51d1888128b638df941a091cec42dc6da9224ba5ecdd3 ./artix7/timings/CLBLM_R.sdf`](./artix7/timings/CLBLM_R.sdf)
* [`cd6fac9b7dbf1a487d429380ac8085d18c9d29c1246ae02627822dffafe3ad81 ./artix7/timings/CFG_CENTER_MID.sdf`](./artix7/timings/CFG_CENTER_MID.sdf)
* [`812a76710efb916c1f164be2deacabdadacf8059c66b34e6d70da22fd5fb83b4 ./artix7/timings/CFG_CENTER_TOP.sdf`](./artix7/timings/CFG_CENTER_TOP.sdf)
* [`99a8265d9d9b9504c7a9da30353540edd417faa80a44f8bee82ff9ecb1460ee3 ./artix7/timings/CLBLL_L.sdf`](./artix7/timings/CLBLL_L.sdf)
* [`99a8265d9d9b9504c7a9da30353540edd417faa80a44f8bee82ff9ecb1460ee3 ./artix7/timings/CLBLL_R.sdf`](./artix7/timings/CLBLL_R.sdf)
* [`dbfcf17321beba13500b27a60b111f77ea33979f496d2eec9183062e31ba3192 ./artix7/timings/CLBLM_L.sdf`](./artix7/timings/CLBLM_L.sdf)
* [`dbfcf17321beba13500b27a60b111f77ea33979f496d2eec9183062e31ba3192 ./artix7/timings/CLBLM_R.sdf`](./artix7/timings/CLBLM_R.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/CLK_BUFG_BOT_R.sdf`](./artix7/timings/CLK_BUFG_BOT_R.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/CLK_BUFG_REBUF.sdf`](./artix7/timings/CLK_BUFG_REBUF.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/CLK_BUFG_TOP_R.sdf`](./artix7/timings/CLK_BUFG_TOP_R.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/CLK_FEED.sdf`](./artix7/timings/CLK_FEED.sdf)
* [`534489925413749644e5ec08fc25b07264e88db91a75a7b76a4ca612bee8f23b ./artix7/timings/CLK_HROW_BOT_R.sdf`](./artix7/timings/CLK_HROW_BOT_R.sdf)
* [`534489925413749644e5ec08fc25b07264e88db91a75a7b76a4ca612bee8f23b ./artix7/timings/CLK_HROW_TOP_R.sdf`](./artix7/timings/CLK_HROW_TOP_R.sdf)
* [`36ff9133a29111718ad6ec58246ac6ae789f402437bf71c55c45f843d5c4a4d0 ./artix7/timings/CLK_HROW_BOT_R.sdf`](./artix7/timings/CLK_HROW_BOT_R.sdf)
* [`36ff9133a29111718ad6ec58246ac6ae789f402437bf71c55c45f843d5c4a4d0 ./artix7/timings/CLK_HROW_TOP_R.sdf`](./artix7/timings/CLK_HROW_TOP_R.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/CLK_MTBF2.sdf`](./artix7/timings/CLK_MTBF2.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/CLK_PMV.sdf`](./artix7/timings/CLK_PMV.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/CLK_PMV2.sdf`](./artix7/timings/CLK_PMV2.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/CLK_PMV2_SVT.sdf`](./artix7/timings/CLK_PMV2_SVT.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/CLK_PMVIOB.sdf`](./artix7/timings/CLK_PMVIOB.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/CLK_TERM.sdf`](./artix7/timings/CLK_TERM.sdf)
* [`11ee82949c2bda0d78181c7134f2809f5630cda2b28b5e4203add1cde7372fcc ./artix7/timings/CMT_FIFO_L.sdf`](./artix7/timings/CMT_FIFO_L.sdf)
* [`11ee82949c2bda0d78181c7134f2809f5630cda2b28b5e4203add1cde7372fcc ./artix7/timings/CMT_FIFO_R.sdf`](./artix7/timings/CMT_FIFO_R.sdf)
* [`b66eb5de5e90669e7e0336da3da1fcf8da77ce87c138d54d7e9f88c3daaa7bb1 ./artix7/timings/CMT_FIFO_L.sdf`](./artix7/timings/CMT_FIFO_L.sdf)
* [`b66eb5de5e90669e7e0336da3da1fcf8da77ce87c138d54d7e9f88c3daaa7bb1 ./artix7/timings/CMT_FIFO_R.sdf`](./artix7/timings/CMT_FIFO_R.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/CMT_PMV.sdf`](./artix7/timings/CMT_PMV.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/CMT_PMV_L.sdf`](./artix7/timings/CMT_PMV_L.sdf)
* [`f0a3f422e55c37190cc023d7881632ce105b9519b0b32e153ba6c65c54863c6f ./artix7/timings/CMT_TOP_L_LOWER_B.sdf`](./artix7/timings/CMT_TOP_L_LOWER_B.sdf)
* [`97c0b23cfc1e555fab674bd2c107a14790554bc0314a2cfe7d940b4ea3ebe72b ./artix7/timings/CMT_TOP_L_LOWER_T.sdf`](./artix7/timings/CMT_TOP_L_LOWER_T.sdf)
* [`f03b8c8d322e560be02fda8951fa17daef00b3bb7acde8d6e02389aeafc31483 ./artix7/timings/CMT_TOP_L_UPPER_B.sdf`](./artix7/timings/CMT_TOP_L_UPPER_B.sdf)
* [`19e18e8427407f3c1cb679d297cfa9028e10bf1eb6762ceef6dd0df1e77979ac ./artix7/timings/CMT_TOP_L_UPPER_T.sdf`](./artix7/timings/CMT_TOP_L_UPPER_T.sdf)
* [`f0a3f422e55c37190cc023d7881632ce105b9519b0b32e153ba6c65c54863c6f ./artix7/timings/CMT_TOP_R_LOWER_B.sdf`](./artix7/timings/CMT_TOP_R_LOWER_B.sdf)
* [`97c0b23cfc1e555fab674bd2c107a14790554bc0314a2cfe7d940b4ea3ebe72b ./artix7/timings/CMT_TOP_R_LOWER_T.sdf`](./artix7/timings/CMT_TOP_R_LOWER_T.sdf)
* [`f03b8c8d322e560be02fda8951fa17daef00b3bb7acde8d6e02389aeafc31483 ./artix7/timings/CMT_TOP_R_UPPER_B.sdf`](./artix7/timings/CMT_TOP_R_UPPER_B.sdf)
* [`19e18e8427407f3c1cb679d297cfa9028e10bf1eb6762ceef6dd0df1e77979ac ./artix7/timings/CMT_TOP_R_UPPER_T.sdf`](./artix7/timings/CMT_TOP_R_UPPER_T.sdf)
* [`99916eea41e8a31ac142c2b6990fba1d78b4cd240e1db58ec91a2a5f9069b847 ./artix7/timings/GTP_CHANNEL_0.sdf`](./artix7/timings/GTP_CHANNEL_0.sdf)
* [`99916eea41e8a31ac142c2b6990fba1d78b4cd240e1db58ec91a2a5f9069b847 ./artix7/timings/GTP_CHANNEL_1.sdf`](./artix7/timings/GTP_CHANNEL_1.sdf)
* [`99916eea41e8a31ac142c2b6990fba1d78b4cd240e1db58ec91a2a5f9069b847 ./artix7/timings/GTP_CHANNEL_2.sdf`](./artix7/timings/GTP_CHANNEL_2.sdf)
* [`99916eea41e8a31ac142c2b6990fba1d78b4cd240e1db58ec91a2a5f9069b847 ./artix7/timings/GTP_CHANNEL_3.sdf`](./artix7/timings/GTP_CHANNEL_3.sdf)
* [`3c3d717b8c01c8faba8475822720de13896ebdb4beeb96b21a31835b11ab54d2 ./artix7/timings/GTP_COMMON.sdf`](./artix7/timings/GTP_COMMON.sdf)
* [`f7ce46685da0dcb128c08368d54744298097d42e153c2b290c4161cdf6e21a04 ./artix7/timings/CMT_TOP_L_LOWER_B.sdf`](./artix7/timings/CMT_TOP_L_LOWER_B.sdf)
* [`3fe24923c7943ae381284c831b9bfc258b923af97b9764cae748a9a0c4f29c8a ./artix7/timings/CMT_TOP_L_LOWER_T.sdf`](./artix7/timings/CMT_TOP_L_LOWER_T.sdf)
* [`02beb763074fb8ee7f4ec4fb37250102e9ebafc9cdeb5374da8c0bf783661400 ./artix7/timings/CMT_TOP_L_UPPER_B.sdf`](./artix7/timings/CMT_TOP_L_UPPER_B.sdf)
* [`29ad486b2420a82118bb311a3e6f9fe53e5d6323d0be0a84bd4f6438f50d3d1e ./artix7/timings/CMT_TOP_L_UPPER_T.sdf`](./artix7/timings/CMT_TOP_L_UPPER_T.sdf)
* [`f7ce46685da0dcb128c08368d54744298097d42e153c2b290c4161cdf6e21a04 ./artix7/timings/CMT_TOP_R_LOWER_B.sdf`](./artix7/timings/CMT_TOP_R_LOWER_B.sdf)
* [`3fe24923c7943ae381284c831b9bfc258b923af97b9764cae748a9a0c4f29c8a ./artix7/timings/CMT_TOP_R_LOWER_T.sdf`](./artix7/timings/CMT_TOP_R_LOWER_T.sdf)
* [`02beb763074fb8ee7f4ec4fb37250102e9ebafc9cdeb5374da8c0bf783661400 ./artix7/timings/CMT_TOP_R_UPPER_B.sdf`](./artix7/timings/CMT_TOP_R_UPPER_B.sdf)
* [`29ad486b2420a82118bb311a3e6f9fe53e5d6323d0be0a84bd4f6438f50d3d1e ./artix7/timings/CMT_TOP_R_UPPER_T.sdf`](./artix7/timings/CMT_TOP_R_UPPER_T.sdf)
* [`4f8382d090f11c8c139d227957e6127bcb3d4742bb1ebde7b883eec48a7e7974 ./artix7/timings/GTP_CHANNEL_0.sdf`](./artix7/timings/GTP_CHANNEL_0.sdf)
* [`4f8382d090f11c8c139d227957e6127bcb3d4742bb1ebde7b883eec48a7e7974 ./artix7/timings/GTP_CHANNEL_1.sdf`](./artix7/timings/GTP_CHANNEL_1.sdf)
* [`4f8382d090f11c8c139d227957e6127bcb3d4742bb1ebde7b883eec48a7e7974 ./artix7/timings/GTP_CHANNEL_2.sdf`](./artix7/timings/GTP_CHANNEL_2.sdf)
* [`4f8382d090f11c8c139d227957e6127bcb3d4742bb1ebde7b883eec48a7e7974 ./artix7/timings/GTP_CHANNEL_3.sdf`](./artix7/timings/GTP_CHANNEL_3.sdf)
* [`823dfa36be490839caec9dadc24474f8136406ab92178e4bd8b0a109fd102bdc ./artix7/timings/GTP_COMMON.sdf`](./artix7/timings/GTP_COMMON.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/GTP_INT_INTERFACE.sdf`](./artix7/timings/GTP_INT_INTERFACE.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/HCLK_BRAM.sdf`](./artix7/timings/HCLK_BRAM.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/HCLK_CLB.sdf`](./artix7/timings/HCLK_CLB.sdf)
* [`1e9ba23fac7d5d7e2ad25c4f395981d9e5a00d580fd96e2d40bc37bce4859558 ./artix7/timings/HCLK_CMT.sdf`](./artix7/timings/HCLK_CMT.sdf)
* [`1e9ba23fac7d5d7e2ad25c4f395981d9e5a00d580fd96e2d40bc37bce4859558 ./artix7/timings/HCLK_CMT_L.sdf`](./artix7/timings/HCLK_CMT_L.sdf)
* [`99727d100f5d3bd7cb0e9a8458ed3ef8536d0097e24a899bc877dd04450b79f4 ./artix7/timings/HCLK_CMT.sdf`](./artix7/timings/HCLK_CMT.sdf)
* [`99727d100f5d3bd7cb0e9a8458ed3ef8536d0097e24a899bc877dd04450b79f4 ./artix7/timings/HCLK_CMT_L.sdf`](./artix7/timings/HCLK_CMT_L.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/HCLK_DSP_L.sdf`](./artix7/timings/HCLK_DSP_L.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/HCLK_DSP_R.sdf`](./artix7/timings/HCLK_DSP_R.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/HCLK_FEEDTHRU_1.sdf`](./artix7/timings/HCLK_FEEDTHRU_1.sdf)
@ -409,7 +409,7 @@ Results have checksums;
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/HCLK_GTX.sdf`](./artix7/timings/HCLK_GTX.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/HCLK_INT_INTERFACE.sdf`](./artix7/timings/HCLK_INT_INTERFACE.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/HCLK_IOB.sdf`](./artix7/timings/HCLK_IOB.sdf)
* [`338525f5ef736f1407baff9ff4eabff53a9abb0a37e8c4876a8f6d4782a8658e ./artix7/timings/HCLK_IOI3.sdf`](./artix7/timings/HCLK_IOI3.sdf)
* [`c6cabe7722ffe90e8ccd1ed86fdda7ab1710eae1298e82cf0e5d1138b9b6a446 ./artix7/timings/HCLK_IOI3.sdf`](./artix7/timings/HCLK_IOI3.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/HCLK_L.sdf`](./artix7/timings/HCLK_L.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/HCLK_L_BOT_UTURN.sdf`](./artix7/timings/HCLK_L_BOT_UTURN.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/HCLK_R.sdf`](./artix7/timings/HCLK_R.sdf)
@ -426,27 +426,27 @@ Results have checksums;
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/INT_R.sdf`](./artix7/timings/INT_R.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/IO_INT_INTERFACE_L.sdf`](./artix7/timings/IO_INT_INTERFACE_L.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/IO_INT_INTERFACE_R.sdf`](./artix7/timings/IO_INT_INTERFACE_R.sdf)
* [`672c12b334f07c7243bcbd35d14742c460aee6e7d70fcdf6acecfa811da1456b ./artix7/timings/LIOB33.sdf`](./artix7/timings/LIOB33.sdf)
* [`e10996f1fc67db81b7cd58e11297e6a2687d2103281199434f8c588813d66016 ./artix7/timings/LIOB33.sdf`](./artix7/timings/LIOB33.sdf)
* [`9befc627c1bb83308433ab488ade8d0e4e26dd59490d8d8f622a68406077b04f ./artix7/timings/LIOB33_SING.sdf`](./artix7/timings/LIOB33_SING.sdf)
* [`1ff9b2f86ccbf610746d8aa83e3c07baf945c99e0d34482c349d17806c09247f ./artix7/timings/LIOI3.sdf`](./artix7/timings/LIOI3.sdf)
* [`1ff9b2f86ccbf610746d8aa83e3c07baf945c99e0d34482c349d17806c09247f ./artix7/timings/LIOI3_SING.sdf`](./artix7/timings/LIOI3_SING.sdf)
* [`1ff9b2f86ccbf610746d8aa83e3c07baf945c99e0d34482c349d17806c09247f ./artix7/timings/LIOI3_TBYTESRC.sdf`](./artix7/timings/LIOI3_TBYTESRC.sdf)
* [`1ff9b2f86ccbf610746d8aa83e3c07baf945c99e0d34482c349d17806c09247f ./artix7/timings/LIOI3_TBYTETERM.sdf`](./artix7/timings/LIOI3_TBYTETERM.sdf)
* [`40f6b84423acd88ac976c0006e0bf32a783133d674863e655df361e4c042137f ./artix7/timings/LIOI3.sdf`](./artix7/timings/LIOI3.sdf)
* [`40f6b84423acd88ac976c0006e0bf32a783133d674863e655df361e4c042137f ./artix7/timings/LIOI3_SING.sdf`](./artix7/timings/LIOI3_SING.sdf)
* [`40f6b84423acd88ac976c0006e0bf32a783133d674863e655df361e4c042137f ./artix7/timings/LIOI3_TBYTESRC.sdf`](./artix7/timings/LIOI3_TBYTESRC.sdf)
* [`40f6b84423acd88ac976c0006e0bf32a783133d674863e655df361e4c042137f ./artix7/timings/LIOI3_TBYTETERM.sdf`](./artix7/timings/LIOI3_TBYTETERM.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/L_TERM_INT.sdf`](./artix7/timings/L_TERM_INT.sdf)
* [`7e518763e9de6a3ae4c55b05cb24eb7541ff077a89fd6996a58939e225ff3dfc ./artix7/timings/MONITOR_BOT.sdf`](./artix7/timings/MONITOR_BOT.sdf)
* [`9d8f7ba72a6cf7ab618f8e570cf18dc3717de406f3d3f97303395f312a0013ae ./artix7/timings/MONITOR_BOT.sdf`](./artix7/timings/MONITOR_BOT.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/MONITOR_MID.sdf`](./artix7/timings/MONITOR_MID.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/MONITOR_TOP.sdf`](./artix7/timings/MONITOR_TOP.sdf)
* [`d6c9c750697ae9b1c7da71ee199a36d141d049a1b0cf0acc80086cc2291e2b34 ./artix7/timings/PCIE_BOT.sdf`](./artix7/timings/PCIE_BOT.sdf)
* [`d9b2b73637555d64f23e488085618c6d4293699dda806cb95a4f4219dae3d980 ./artix7/timings/PCIE_BOT.sdf`](./artix7/timings/PCIE_BOT.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/PCIE_INT_INTERFACE_L.sdf`](./artix7/timings/PCIE_INT_INTERFACE_L.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/PCIE_INT_INTERFACE_R.sdf`](./artix7/timings/PCIE_INT_INTERFACE_R.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/PCIE_NULL.sdf`](./artix7/timings/PCIE_NULL.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/PCIE_TOP.sdf`](./artix7/timings/PCIE_TOP.sdf)
* [`672c12b334f07c7243bcbd35d14742c460aee6e7d70fcdf6acecfa811da1456b ./artix7/timings/RIOB33.sdf`](./artix7/timings/RIOB33.sdf)
* [`e10996f1fc67db81b7cd58e11297e6a2687d2103281199434f8c588813d66016 ./artix7/timings/RIOB33.sdf`](./artix7/timings/RIOB33.sdf)
* [`9befc627c1bb83308433ab488ade8d0e4e26dd59490d8d8f622a68406077b04f ./artix7/timings/RIOB33_SING.sdf`](./artix7/timings/RIOB33_SING.sdf)
* [`1ff9b2f86ccbf610746d8aa83e3c07baf945c99e0d34482c349d17806c09247f ./artix7/timings/RIOI3.sdf`](./artix7/timings/RIOI3.sdf)
* [`1ff9b2f86ccbf610746d8aa83e3c07baf945c99e0d34482c349d17806c09247f ./artix7/timings/RIOI3_SING.sdf`](./artix7/timings/RIOI3_SING.sdf)
* [`1ff9b2f86ccbf610746d8aa83e3c07baf945c99e0d34482c349d17806c09247f ./artix7/timings/RIOI3_TBYTESRC.sdf`](./artix7/timings/RIOI3_TBYTESRC.sdf)
* [`1ff9b2f86ccbf610746d8aa83e3c07baf945c99e0d34482c349d17806c09247f ./artix7/timings/RIOI3_TBYTETERM.sdf`](./artix7/timings/RIOI3_TBYTETERM.sdf)
* [`40f6b84423acd88ac976c0006e0bf32a783133d674863e655df361e4c042137f ./artix7/timings/RIOI3.sdf`](./artix7/timings/RIOI3.sdf)
* [`40f6b84423acd88ac976c0006e0bf32a783133d674863e655df361e4c042137f ./artix7/timings/RIOI3_SING.sdf`](./artix7/timings/RIOI3_SING.sdf)
* [`40f6b84423acd88ac976c0006e0bf32a783133d674863e655df361e4c042137f ./artix7/timings/RIOI3_TBYTESRC.sdf`](./artix7/timings/RIOI3_TBYTESRC.sdf)
* [`40f6b84423acd88ac976c0006e0bf32a783133d674863e655df361e4c042137f ./artix7/timings/RIOI3_TBYTETERM.sdf`](./artix7/timings/RIOI3_TBYTETERM.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/R_TERM_INT.sdf`](./artix7/timings/R_TERM_INT.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/R_TERM_INT_GTX.sdf`](./artix7/timings/R_TERM_INT_GTX.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/TERM_CMT.sdf`](./artix7/timings/TERM_CMT.sdf)
@ -454,8 +454,8 @@ Results have checksums;
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/VBRK.sdf`](./artix7/timings/VBRK.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/VBRK_EXT.sdf`](./artix7/timings/VBRK_EXT.sdf)
* [`fdbd15cd9a5b54d402980d250333c7ab8bdbff2f89eaffbc8053e1afde4dbfd5 ./artix7/timings/VFRAME.sdf`](./artix7/timings/VFRAME.sdf)
* [`2ba5ff1badba4350de2be5e1cb9b81b28b1ea715e5bbdc8d500d525615977bad ./artix7/timings/slicel.sdf`](./artix7/timings/slicel.sdf)
* [`d553984e9712cff2e4bd941fd12162f1fdf18c74ad9c2126f4346e981b7f21d9 ./artix7/timings/slicem.sdf`](./artix7/timings/slicem.sdf)
* [`d265a4878ba731554e7ab84939adc6a163076ca4f1345db79a8172558d0ebab9 ./artix7/timings/slicel.sdf`](./artix7/timings/slicel.sdf)
* [`86cdcd5e028d5c08865e841eda91e535a15744af99c092e073121668e955515f ./artix7/timings/slicem.sdf`](./artix7/timings/slicem.sdf)
* [`4c20ee41ea32668919b7d91a7fabe38960e0ee4d5b3b83f1d18102d48895bf1c ./artix7/xc7a35tcpg236-1.json`](./artix7/xc7a35tcpg236-1.json)
* [`ef0724733da87455426a0f833642d96e9d206d047f4eb97072c3093f80c40d7d ./artix7/xc7a35tcpg236-1.yaml`](./artix7/xc7a35tcpg236-1.yaml)
* [`ac928ee3c50e91facacb4173fdf70384f56e046bb14581bf75f760e406fe4f78 ./artix7/xc7a35tcpg236-1_package_pins.csv`](./artix7/xc7a35tcpg236-1_package_pins.csv)
@ -471,7 +471,7 @@ Results have checksums;
### Settings
Created using following [settings/kintex7.sh (sha256: 794538b550d399255cbafeeb1b5ff183dc66ebc6cd8dc0f725e3f6a3977f757d)](https://github.com/SymbiFlow/prjxray/blob/27bba88fc8ac6b08dc83a9298ef6f227c5a0d46e/settings/kintex7.sh)
Created using following [settings/kintex7.sh (sha256: 794538b550d399255cbafeeb1b5ff183dc66ebc6cd8dc0f725e3f6a3977f757d)](https://github.com/SymbiFlow/prjxray/blob/6e895f39c003e929942cc6af8c1378d14238d34f/settings/kintex7.sh)
```shell
export XRAY_DATABASE="kintex7"
export XRAY_PART="xc7k70tfbg676-2"
@ -745,7 +745,7 @@ Results have checksums;
### Settings
Created using following [settings/zynq7.sh (sha256: 61298e89dcc873eadba47f5c2f9f72a38fa022583b2c7ff89246b2e051585ad8)](https://github.com/SymbiFlow/prjxray/blob/27bba88fc8ac6b08dc83a9298ef6f227c5a0d46e/settings/zynq7.sh)
Created using following [settings/zynq7.sh (sha256: 61298e89dcc873eadba47f5c2f9f72a38fa022583b2c7ff89246b2e051585ad8)](https://github.com/SymbiFlow/prjxray/blob/6e895f39c003e929942cc6af8c1378d14238d34f/settings/zynq7.sh)
```shell
export XRAY_DATABASE="zynq7"
export XRAY_PART="xc7z010clg400-1"

View File

@ -17,8 +17,8 @@
(TIMINGCHECK
(HOLD CSIB (posedge CLK) (0.000::0.000))
(SETUP CSIB (posedge CLK) (3.390::3.900))
(HOLD CLK (posedge CLK) (0.000::0.000))
(SETUP CLK (posedge CLK) (5.587::6.427))
(HOLD RDWRB (posedge CLK) (0.000::0.000))
(SETUP RDWRB (posedge CLK) (5.587::6.427))
)
)
(CELL

View File

@ -12,12 +12,12 @@
)
)
(TIMINGCHECK
(HOLD CLK (posedge CLK) (0.000::0.000))
(SETUP CLK (posedge CLK) (2.793::3.214))
(HOLD CLK (posedge CLK) (0.000::0.000))
(SETUP CLK (posedge CLK) (4.656::5.356))
(HOLD CLK (posedge CLK) (0.000::0.000))
(SETUP CLK (posedge CLK) (4.656::5.356))
(HOLD DIN (posedge CLK) (0.000::0.000))
(SETUP DIN (posedge CLK) (2.793::3.214))
(HOLD READ (posedge CLK) (0.000::0.000))
(SETUP READ (posedge CLK) (4.656::5.356))
(HOLD SHIFT (posedge CLK) (0.000::0.000))
(SETUP SHIFT (posedge CLK) (4.656::5.356))
)
)
)

View File

@ -3,131 +3,6 @@
(SDFVERSION "3.0")
(TIMESCALE 1ps)
(CELL
(CELLTYPE "LUT5")
(INSTANCE SLICEL/D5LUT)
(DELAY
(ABSOLUTE
(IOPATH A1 O5 (0.044::0.055)(0.120::0.149))
(IOPATH A2 O5 (0.043::0.054)(0.121::0.150))
(IOPATH A3 O5 (0.042::0.052)(0.120::0.149))
(IOPATH A4 O5 (0.044::0.055)(0.120::0.149))
(IOPATH A5 O5 (0.049::0.061)(0.094::0.117))
)
)
)
(CELL
(CELLTYPE "LUT5")
(INSTANCE SLICEL/C5LUT)
(DELAY
(ABSOLUTE
(IOPATH A1 O5 (0.044::0.055)(0.124::0.154))
(IOPATH A2 O5 (0.043::0.053)(0.124::0.154))
(IOPATH A3 O5 (0.042::0.052)(0.123::0.153))
(IOPATH A4 O5 (0.045::0.056)(0.123::0.153))
(IOPATH A5 O5 (0.051::0.063)(0.097::0.120))
)
)
)
(CELL
(CELLTYPE "CARRY4_AX_LFF")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI0 CO0 (0.113::0.140)(0.301::0.374))
(IOPATH DI0 CO1 (0.134::0.166)(0.373::0.462))
(IOPATH DI0 CO2 (0.158::0.197)(0.432::0.536))
(IOPATH DI0 O1 (0.124::0.154)(0.328::0.407))
(IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
(IOPATH DI0 O3 (0.177::0.220)(0.496::0.615))
)
)
)
(CELL
(CELLTYPE "CARRY4_AX")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI0 CO0 (0.112::0.139)(0.306::0.379))
(IOPATH DI0 CO1 (0.134::0.166)(0.375::0.465))
(IOPATH DI0 CO2 (0.160::0.199)(0.435::0.540))
(IOPATH DI0 CO3 (0.161::0.201)(0.424::0.526))
(IOPATH DI0 O1 (0.124::0.155)(0.328::0.407))
(IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
(IOPATH DI0 O3 (0.178::0.222)(0.496::0.615))
)
)
)
(CELL
(CELLTYPE "CARRY4_CX")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI2 CO2 (0.099::0.124)(0.287::0.356))
(IOPATH DI2 CO3 (0.117::0.146)(0.321::0.398))
(IOPATH DI2 O3 (0.127::0.158)(0.353::0.438))
)
)
)
(CELL
(CELLTYPE "CARRY4_LBOTH")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH CIN CO0 (0.086::0.113)(0.223::0.293))
(IOPATH CIN CO1 (0.052::0.064)(0.143::0.178))
(IOPATH CIN CO2 (0.075::0.094)(0.201::0.250))
(IOPATH CIN O0 (0.057::0.085)(0.159::0.235))
(IOPATH CIN O1 (0.098::0.122)(0.280::0.348))
(IOPATH CIN O2 (0.070::0.087)(0.206::0.256))
(IOPATH CIN O3 (0.092::0.114)(0.265::0.329))
(IOPATH CYINIT CO0 (0.177::0.220)(0.466::0.578))
(IOPATH CYINIT CO1 (0.152::0.189)(0.426::0.529))
(IOPATH CYINIT CO2 (0.180::0.224)(0.497::0.617))
(IOPATH CYINIT O0 (0.152::0.189)(0.395::0.491))
(IOPATH CYINIT O1 (0.183::0.228)(0.494::0.613))
(IOPATH CYINIT O2 (0.172::0.214)(0.483::0.600))
(IOPATH CYINIT O3 (0.194::0.241)(0.530::0.657))
)
)
)
(CELL
(CELLTYPE "CARRY4_AX_LBOTH")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI0 CO0 (0.123::0.153)(0.343::0.425))
(IOPATH DI0 CO1 (0.142::0.177)(0.393::0.487))
(IOPATH DI0 CO2 (0.170::0.211)(0.456::0.566))
(IOPATH DI0 O1 (0.131::0.163)(0.338::0.420))
(IOPATH DI0 O2 (0.160::0.200)(0.462::0.573))
(IOPATH DI0 O3 (0.182::0.227)(0.511::0.633))
)
)
)
(CELL
(CELLTYPE "REG_INIT_LAT_LOGIC_AND")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH D Q (0.073::0.092)(0.213::0.264))
(IOPATH SR Q (0.164::0.204)(0.638::0.791))
)
)
)
(CELL
(CELLTYPE "CARRY4_BX")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI1 CO1 (0.124::0.154)(0.359::0.445))
(IOPATH DI1 CO2 (0.150::0.186)(0.419::0.520))
(IOPATH DI1 CO3 (0.147::0.183)(0.409::0.507))
(IOPATH DI1 O2 (0.146::0.182)(0.433::0.537))
(IOPATH DI1 O3 (0.167::0.208)(0.481::0.596))
)
)
)
(CELL
(CELLTYPE "CARRY4")
(INSTANCE SLICEL)
@ -173,22 +48,58 @@
)
)
(CELL
(CELLTYPE "REG_INIT_LAT_LOGIC_OR")
(CELLTYPE "CARRY4_AX")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH D Q (0.073::0.092)(0.213::0.264))
(IOPATH SR Q (0.164::0.204)(0.638::0.791))
(IOPATH DI0 CO0 (0.112::0.139)(0.306::0.379))
(IOPATH DI0 CO1 (0.134::0.166)(0.375::0.465))
(IOPATH DI0 CO2 (0.160::0.199)(0.435::0.540))
(IOPATH DI0 CO3 (0.161::0.201)(0.424::0.526))
(IOPATH DI0 O1 (0.124::0.155)(0.328::0.407))
(IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
(IOPATH DI0 O3 (0.178::0.222)(0.496::0.615))
)
)
)
(CELL
(CELLTYPE "CARRY4_CX_LBOTH")
(CELLTYPE "CARRY4_AX_LBOTH")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI2 CO2 (0.105::0.131)(0.308::0.383))
(IOPATH DI2 O3 (0.129::0.160)(0.366::0.455))
(IOPATH DI0 CO0 (0.123::0.153)(0.343::0.425))
(IOPATH DI0 CO1 (0.142::0.177)(0.393::0.487))
(IOPATH DI0 CO2 (0.170::0.211)(0.456::0.566))
(IOPATH DI0 O1 (0.131::0.163)(0.338::0.420))
(IOPATH DI0 O2 (0.160::0.200)(0.462::0.573))
(IOPATH DI0 O3 (0.182::0.227)(0.511::0.633))
)
)
)
(CELL
(CELLTYPE "CARRY4_AX_LFF")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI0 CO0 (0.113::0.140)(0.301::0.374))
(IOPATH DI0 CO1 (0.134::0.166)(0.373::0.462))
(IOPATH DI0 CO2 (0.158::0.197)(0.432::0.536))
(IOPATH DI0 O1 (0.124::0.154)(0.328::0.407))
(IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
(IOPATH DI0 O3 (0.177::0.220)(0.496::0.615))
)
)
)
(CELL
(CELLTYPE "CARRY4_BX")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI1 CO1 (0.124::0.154)(0.359::0.445))
(IOPATH DI1 CO2 (0.150::0.186)(0.419::0.520))
(IOPATH DI1 CO3 (0.147::0.183)(0.409::0.507))
(IOPATH DI1 O2 (0.146::0.182)(0.433::0.537))
(IOPATH DI1 O3 (0.167::0.208)(0.481::0.596))
)
)
)
@ -204,46 +115,6 @@
)
)
)
(CELL
(CELLTYPE "FF_INIT")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.099::0.124)(0.244::0.303))
)
)
(TIMINGCHECK
(HOLD CE (posedge CLK) (-0.011::-0.009))
(SETUP CE (posedge CLK) (0.088::0.109))
)
)
(CELL
(CELLTYPE "FF_INIT_QH")
(INSTANCE SLICEL)
(TIMINGCHECK
(RECOVERY SR (posedge CLK) (0.288::0.358))
(REMOVAL SR (posedge CLK) (-0.305::-0.248))
)
)
(CELL
(CELLTYPE "REG_INIT_LAT")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH CE Q (0.130::0.162)(0.409::0.507))
(IOPATH CLK Q (0.129::0.160)(0.357::0.443))
(IOPATH D Q (0.073::0.092)(0.213::0.264))
)
)
)
(CELL
(CELLTYPE "REG_INIT_FF_QH")
(INSTANCE SLICEL)
(TIMINGCHECK
(RECOVERY SR (posedge CLK) (0.288::0.358))
(REMOVAL SR (posedge CLK) (-0.305::-0.248))
)
)
(CELL
(CELLTYPE "CARRY4_BX_LFF")
(INSTANCE SLICEL)
@ -257,11 +128,87 @@
)
)
(CELL
(CELLTYPE "FF_INIT_QL")
(CELLTYPE "CARRY4_CX")
(INSTANCE SLICEL)
(TIMINGCHECK
(RECOVERY SR (posedge CLK) (0.326::0.404))
(REMOVAL SR (posedge CLK) (-0.305::-0.248))
(DELAY
(ABSOLUTE
(IOPATH DI2 CO2 (0.099::0.124)(0.287::0.356))
(IOPATH DI2 CO3 (0.117::0.146)(0.321::0.398))
(IOPATH DI2 O3 (0.127::0.158)(0.353::0.438))
)
)
)
(CELL
(CELLTYPE "CARRY4_CX_LBOTH")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI2 CO2 (0.105::0.131)(0.308::0.383))
(IOPATH DI2 O3 (0.129::0.160)(0.366::0.455))
)
)
)
(CELL
(CELLTYPE "CARRY4_CX_LFF")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI2 CO2 (0.099::0.124)(0.286::0.354))
(IOPATH DI2 O3 (0.127::0.158)(0.354::0.439))
)
)
)
(CELL
(CELLTYPE "CARRY4_DX")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI3 CO3 (0.113::0.140)(0.310::0.385))
)
)
)
(CELL
(CELLTYPE "CARRY4_LBOTH")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH CIN CO0 (0.086::0.113)(0.223::0.293))
(IOPATH CIN CO1 (0.052::0.064)(0.143::0.178))
(IOPATH CIN CO2 (0.075::0.094)(0.201::0.250))
(IOPATH CIN O0 (0.057::0.085)(0.159::0.235))
(IOPATH CIN O1 (0.098::0.122)(0.280::0.348))
(IOPATH CIN O2 (0.070::0.087)(0.206::0.256))
(IOPATH CIN O3 (0.092::0.114)(0.265::0.329))
(IOPATH CYINIT CO0 (0.177::0.220)(0.466::0.578))
(IOPATH CYINIT CO1 (0.152::0.189)(0.426::0.529))
(IOPATH CYINIT CO2 (0.180::0.224)(0.497::0.617))
(IOPATH CYINIT O0 (0.152::0.189)(0.395::0.491))
(IOPATH CYINIT O1 (0.183::0.228)(0.494::0.613))
(IOPATH CYINIT O2 (0.172::0.214)(0.483::0.600))
(IOPATH CYINIT O3 (0.194::0.241)(0.530::0.657))
)
)
)
(CELL
(CELLTYPE "CARRY4_LFF")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH CIN CO0 (0.076::0.100)(0.204::0.268))
(IOPATH CIN CO1 (0.044::0.055)(0.125::0.155))
(IOPATH CIN CO2 (0.064::0.080)(0.183::0.227))
(IOPATH CIN O0 (0.055::0.081)(0.151::0.223))
(IOPATH CIN O1 (0.090::0.112)(0.269::0.334))
(IOPATH CIN O2 (0.065::0.081)(0.192::0.239))
(IOPATH CIN O3 (0.090::0.112)(0.250::0.311))
(IOPATH CYINIT CO0 (0.165::0.206)(0.429::0.532))
(IOPATH CYINIT CO1 (0.144::0.180)(0.395::0.491))
(IOPATH CYINIT CO2 (0.168::0.209)(0.474::0.589))
(IOPATH CYINIT O0 (0.148::0.184)(0.385::0.477))
(IOPATH CYINIT O1 (0.175::0.218)(0.482::0.598))
(IOPATH CYINIT O2 (0.167::0.208)(0.468::0.581))
(IOPATH CYINIT O3 (0.189::0.235)(0.516::0.640))
)
)
)
(CELL
@ -288,6 +235,35 @@
)
)
)
(CELL
(CELLTYPE "FF_INIT")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.099::0.124)(0.244::0.303))
)
)
(TIMINGCHECK
(HOLD CE (posedge CLK) (-0.011::-0.009))
(SETUP CE (posedge CLK) (0.088::0.109))
)
)
(CELL
(CELLTYPE "FF_INIT_QH")
(INSTANCE SLICEL)
(TIMINGCHECK
(RECOVERY SR (posedge CLK) (0.288::0.358))
(REMOVAL SR (posedge CLK) (-0.305::-0.248))
)
)
(CELL
(CELLTYPE "FF_INIT_QL")
(INSTANCE SLICEL)
(TIMINGCHECK
(RECOVERY SR (posedge CLK) (0.326::0.404))
(REMOVAL SR (posedge CLK) (-0.305::-0.248))
)
)
(CELL
(CELLTYPE "REG_INIT_FF")
(INSTANCE SLICEL)
@ -302,44 +278,11 @@
)
)
(CELL
(CELLTYPE "CARRY4_CX_LFF")
(CELLTYPE "REG_INIT_FF_QH")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI2 CO2 (0.099::0.124)(0.286::0.354))
(IOPATH DI2 O3 (0.127::0.158)(0.354::0.439))
)
)
)
(CELL
(CELLTYPE "CARRY4_LFF")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH CIN CO0 (0.076::0.100)(0.204::0.268))
(IOPATH CIN CO1 (0.044::0.055)(0.125::0.155))
(IOPATH CIN CO2 (0.064::0.080)(0.183::0.227))
(IOPATH CIN O0 (0.055::0.081)(0.151::0.223))
(IOPATH CIN O1 (0.090::0.112)(0.269::0.334))
(IOPATH CIN O2 (0.065::0.081)(0.192::0.239))
(IOPATH CIN O3 (0.090::0.112)(0.250::0.311))
(IOPATH CYINIT CO0 (0.165::0.206)(0.429::0.532))
(IOPATH CYINIT CO1 (0.144::0.180)(0.395::0.491))
(IOPATH CYINIT CO2 (0.168::0.209)(0.474::0.589))
(IOPATH CYINIT O0 (0.148::0.184)(0.385::0.477))
(IOPATH CYINIT O1 (0.175::0.218)(0.482::0.598))
(IOPATH CYINIT O2 (0.167::0.208)(0.468::0.581))
(IOPATH CYINIT O3 (0.189::0.235)(0.516::0.640))
)
)
)
(CELL
(CELLTYPE "CARRY4_DX")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI3 CO3 (0.113::0.140)(0.310::0.385))
)
(TIMINGCHECK
(RECOVERY SR (posedge CLK) (0.288::0.358))
(REMOVAL SR (posedge CLK) (-0.305::-0.248))
)
)
(CELL
@ -351,49 +294,33 @@
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(INSTANCE SLICEL/F7AMUX)
(CELLTYPE "REG_INIT_LAT")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.053::0.067)(0.153::0.190))
(IOPATH 1 OUT (0.055::0.069)(0.156::0.193))
(IOPATH S0 OUT (0.085::0.106)(0.222::0.276))
(IOPATH CE Q (0.130::0.162)(0.409::0.507))
(IOPATH CLK Q (0.129::0.160)(0.357::0.443))
(IOPATH D Q (0.073::0.092)(0.213::0.264))
)
)
)
(CELL
(CELLTYPE "LUT6")
(INSTANCE SLICEL/C6LUT)
(CELLTYPE "REG_INIT_LAT_LOGIC_AND")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
(IOPATH D Q (0.073::0.092)(0.213::0.264))
(IOPATH SR Q (0.164::0.204)(0.638::0.791))
)
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(INSTANCE SLICEL/F7BMUX)
(CELLTYPE "REG_INIT_LAT_LOGIC_OR")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.062::0.077)(0.175::0.217))
(IOPATH 1 OUT (0.065::0.081)(0.180::0.223))
(IOPATH S0 OUT (0.093::0.115)(0.239::0.296))
)
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(INSTANCE SLICEL/F8MUX)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.023::0.028)(0.083::0.104))
(IOPATH 1 OUT (0.019::0.024)(0.076::0.094))
(IOPATH S0 OUT (0.080::0.100)(0.220::0.273))
(IOPATH D Q (0.073::0.092)(0.213::0.264))
(IOPATH SR Q (0.164::0.204)(0.638::0.791))
)
)
)
@ -410,20 +337,6 @@
)
)
)
(CELL
(CELLTYPE "LUT6")
(INSTANCE SLICEL/B6LUT)
(DELAY
(ABSOLUTE
(IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
)
)
)
(CELL
(CELLTYPE "LUT6")
(INSTANCE SLICEL/A6LUT)
@ -451,6 +364,60 @@
)
)
)
(CELL
(CELLTYPE "LUT6")
(INSTANCE SLICEL/B6LUT)
(DELAY
(ABSOLUTE
(IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
)
)
)
(CELL
(CELLTYPE "LUT5")
(INSTANCE SLICEL/C5LUT)
(DELAY
(ABSOLUTE
(IOPATH A1 O5 (0.044::0.055)(0.124::0.154))
(IOPATH A2 O5 (0.043::0.053)(0.124::0.154))
(IOPATH A3 O5 (0.042::0.052)(0.123::0.153))
(IOPATH A4 O5 (0.045::0.056)(0.123::0.153))
(IOPATH A5 O5 (0.051::0.063)(0.097::0.120))
)
)
)
(CELL
(CELLTYPE "LUT6")
(INSTANCE SLICEL/C6LUT)
(DELAY
(ABSOLUTE
(IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
)
)
)
(CELL
(CELLTYPE "LUT5")
(INSTANCE SLICEL/D5LUT)
(DELAY
(ABSOLUTE
(IOPATH A1 O5 (0.044::0.055)(0.120::0.149))
(IOPATH A2 O5 (0.043::0.054)(0.121::0.150))
(IOPATH A3 O5 (0.042::0.052)(0.120::0.149))
(IOPATH A4 O5 (0.044::0.055)(0.120::0.149))
(IOPATH A5 O5 (0.049::0.061)(0.094::0.117))
)
)
)
(CELL
(CELLTYPE "LUT6")
(INSTANCE SLICEL/D6LUT)
@ -465,4 +432,37 @@
)
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(INSTANCE SLICEL/F7AMUX)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.053::0.067)(0.153::0.190))
(IOPATH 1 OUT (0.055::0.069)(0.156::0.193))
(IOPATH S0 OUT (0.085::0.106)(0.222::0.276))
)
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(INSTANCE SLICEL/F7BMUX)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.062::0.077)(0.175::0.217))
(IOPATH 1 OUT (0.065::0.081)(0.180::0.223))
(IOPATH S0 OUT (0.093::0.115)(0.239::0.296))
)
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(INSTANCE SLICEL/F8MUX)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.023::0.028)(0.083::0.104))
(IOPATH 1 OUT (0.019::0.024)(0.076::0.094))
(IOPATH S0 OUT (0.080::0.100)(0.220::0.273))
)
)
)
)

View File

@ -3,131 +3,6 @@
(SDFVERSION "3.0")
(TIMESCALE 1ps)
(CELL
(CELLTYPE "LUT5")
(INSTANCE SLICEL/D5LUT)
(DELAY
(ABSOLUTE
(IOPATH A1 O5 (0.044::0.055)(0.120::0.149))
(IOPATH A2 O5 (0.043::0.054)(0.121::0.150))
(IOPATH A3 O5 (0.042::0.052)(0.120::0.149))
(IOPATH A4 O5 (0.044::0.055)(0.120::0.149))
(IOPATH A5 O5 (0.049::0.061)(0.094::0.117))
)
)
)
(CELL
(CELLTYPE "LUT5")
(INSTANCE SLICEL/C5LUT)
(DELAY
(ABSOLUTE
(IOPATH A1 O5 (0.044::0.055)(0.124::0.154))
(IOPATH A2 O5 (0.043::0.053)(0.124::0.154))
(IOPATH A3 O5 (0.042::0.052)(0.123::0.153))
(IOPATH A4 O5 (0.045::0.056)(0.123::0.153))
(IOPATH A5 O5 (0.051::0.063)(0.097::0.120))
)
)
)
(CELL
(CELLTYPE "CARRY4_AX_LFF")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI0 CO0 (0.113::0.140)(0.301::0.374))
(IOPATH DI0 CO1 (0.134::0.166)(0.373::0.462))
(IOPATH DI0 CO2 (0.158::0.197)(0.432::0.536))
(IOPATH DI0 O1 (0.124::0.154)(0.328::0.407))
(IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
(IOPATH DI0 O3 (0.177::0.220)(0.496::0.615))
)
)
)
(CELL
(CELLTYPE "CARRY4_AX")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI0 CO0 (0.112::0.139)(0.306::0.379))
(IOPATH DI0 CO1 (0.134::0.166)(0.375::0.465))
(IOPATH DI0 CO2 (0.160::0.199)(0.435::0.540))
(IOPATH DI0 CO3 (0.161::0.201)(0.424::0.526))
(IOPATH DI0 O1 (0.124::0.155)(0.328::0.407))
(IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
(IOPATH DI0 O3 (0.178::0.222)(0.496::0.615))
)
)
)
(CELL
(CELLTYPE "CARRY4_CX")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI2 CO2 (0.099::0.124)(0.287::0.356))
(IOPATH DI2 CO3 (0.117::0.146)(0.321::0.398))
(IOPATH DI2 O3 (0.127::0.158)(0.353::0.438))
)
)
)
(CELL
(CELLTYPE "CARRY4_LBOTH")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH CIN CO0 (0.086::0.113)(0.223::0.293))
(IOPATH CIN CO1 (0.052::0.064)(0.143::0.178))
(IOPATH CIN CO2 (0.075::0.094)(0.201::0.250))
(IOPATH CIN O0 (0.057::0.085)(0.159::0.235))
(IOPATH CIN O1 (0.098::0.122)(0.280::0.348))
(IOPATH CIN O2 (0.070::0.087)(0.206::0.256))
(IOPATH CIN O3 (0.092::0.114)(0.265::0.329))
(IOPATH CYINIT CO0 (0.177::0.220)(0.466::0.578))
(IOPATH CYINIT CO1 (0.152::0.189)(0.426::0.529))
(IOPATH CYINIT CO2 (0.180::0.224)(0.497::0.617))
(IOPATH CYINIT O0 (0.152::0.189)(0.395::0.491))
(IOPATH CYINIT O1 (0.183::0.228)(0.494::0.613))
(IOPATH CYINIT O2 (0.172::0.214)(0.483::0.600))
(IOPATH CYINIT O3 (0.194::0.241)(0.530::0.657))
)
)
)
(CELL
(CELLTYPE "CARRY4_AX_LBOTH")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI0 CO0 (0.123::0.153)(0.343::0.425))
(IOPATH DI0 CO1 (0.142::0.177)(0.393::0.487))
(IOPATH DI0 CO2 (0.170::0.211)(0.456::0.566))
(IOPATH DI0 O1 (0.131::0.163)(0.338::0.420))
(IOPATH DI0 O2 (0.160::0.200)(0.462::0.573))
(IOPATH DI0 O3 (0.182::0.227)(0.511::0.633))
)
)
)
(CELL
(CELLTYPE "REG_INIT_LAT_LOGIC_AND")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH D Q (0.073::0.092)(0.213::0.264))
(IOPATH SR Q (0.164::0.204)(0.638::0.791))
)
)
)
(CELL
(CELLTYPE "CARRY4_BX")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI1 CO1 (0.124::0.154)(0.359::0.445))
(IOPATH DI1 CO2 (0.150::0.186)(0.419::0.520))
(IOPATH DI1 CO3 (0.147::0.183)(0.409::0.507))
(IOPATH DI1 O2 (0.146::0.182)(0.433::0.537))
(IOPATH DI1 O3 (0.167::0.208)(0.481::0.596))
)
)
)
(CELL
(CELLTYPE "CARRY4")
(INSTANCE SLICEL)
@ -173,22 +48,58 @@
)
)
(CELL
(CELLTYPE "REG_INIT_LAT_LOGIC_OR")
(CELLTYPE "CARRY4_AX")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH D Q (0.073::0.092)(0.213::0.264))
(IOPATH SR Q (0.164::0.204)(0.638::0.791))
(IOPATH DI0 CO0 (0.112::0.139)(0.306::0.379))
(IOPATH DI0 CO1 (0.134::0.166)(0.375::0.465))
(IOPATH DI0 CO2 (0.160::0.199)(0.435::0.540))
(IOPATH DI0 CO3 (0.161::0.201)(0.424::0.526))
(IOPATH DI0 O1 (0.124::0.155)(0.328::0.407))
(IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
(IOPATH DI0 O3 (0.178::0.222)(0.496::0.615))
)
)
)
(CELL
(CELLTYPE "CARRY4_CX_LBOTH")
(CELLTYPE "CARRY4_AX_LBOTH")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI2 CO2 (0.105::0.131)(0.308::0.383))
(IOPATH DI2 O3 (0.129::0.160)(0.366::0.455))
(IOPATH DI0 CO0 (0.123::0.153)(0.343::0.425))
(IOPATH DI0 CO1 (0.142::0.177)(0.393::0.487))
(IOPATH DI0 CO2 (0.170::0.211)(0.456::0.566))
(IOPATH DI0 O1 (0.131::0.163)(0.338::0.420))
(IOPATH DI0 O2 (0.160::0.200)(0.462::0.573))
(IOPATH DI0 O3 (0.182::0.227)(0.511::0.633))
)
)
)
(CELL
(CELLTYPE "CARRY4_AX_LFF")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI0 CO0 (0.113::0.140)(0.301::0.374))
(IOPATH DI0 CO1 (0.134::0.166)(0.373::0.462))
(IOPATH DI0 CO2 (0.158::0.197)(0.432::0.536))
(IOPATH DI0 O1 (0.124::0.154)(0.328::0.407))
(IOPATH DI0 O2 (0.157::0.196)(0.448::0.556))
(IOPATH DI0 O3 (0.177::0.220)(0.496::0.615))
)
)
)
(CELL
(CELLTYPE "CARRY4_BX")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI1 CO1 (0.124::0.154)(0.359::0.445))
(IOPATH DI1 CO2 (0.150::0.186)(0.419::0.520))
(IOPATH DI1 CO3 (0.147::0.183)(0.409::0.507))
(IOPATH DI1 O2 (0.146::0.182)(0.433::0.537))
(IOPATH DI1 O3 (0.167::0.208)(0.481::0.596))
)
)
)
@ -204,46 +115,6 @@
)
)
)
(CELL
(CELLTYPE "FF_INIT")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.099::0.124)(0.244::0.303))
)
)
(TIMINGCHECK
(HOLD CE (posedge CLK) (-0.011::-0.009))
(SETUP CE (posedge CLK) (0.088::0.109))
)
)
(CELL
(CELLTYPE "FF_INIT_QH")
(INSTANCE SLICEL)
(TIMINGCHECK
(RECOVERY SR (posedge CLK) (0.288::0.358))
(REMOVAL SR (posedge CLK) (-0.305::-0.248))
)
)
(CELL
(CELLTYPE "REG_INIT_LAT")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH CE Q (0.130::0.162)(0.409::0.507))
(IOPATH CLK Q (0.129::0.160)(0.357::0.443))
(IOPATH D Q (0.073::0.092)(0.213::0.264))
)
)
)
(CELL
(CELLTYPE "REG_INIT_FF_QH")
(INSTANCE SLICEL)
(TIMINGCHECK
(RECOVERY SR (posedge CLK) (0.288::0.358))
(REMOVAL SR (posedge CLK) (-0.305::-0.248))
)
)
(CELL
(CELLTYPE "CARRY4_BX_LFF")
(INSTANCE SLICEL)
@ -257,11 +128,87 @@
)
)
(CELL
(CELLTYPE "FF_INIT_QL")
(CELLTYPE "CARRY4_CX")
(INSTANCE SLICEL)
(TIMINGCHECK
(RECOVERY SR (posedge CLK) (0.326::0.404))
(REMOVAL SR (posedge CLK) (-0.305::-0.248))
(DELAY
(ABSOLUTE
(IOPATH DI2 CO2 (0.099::0.124)(0.287::0.356))
(IOPATH DI2 CO3 (0.117::0.146)(0.321::0.398))
(IOPATH DI2 O3 (0.127::0.158)(0.353::0.438))
)
)
)
(CELL
(CELLTYPE "CARRY4_CX_LBOTH")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI2 CO2 (0.105::0.131)(0.308::0.383))
(IOPATH DI2 O3 (0.129::0.160)(0.366::0.455))
)
)
)
(CELL
(CELLTYPE "CARRY4_CX_LFF")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI2 CO2 (0.099::0.124)(0.286::0.354))
(IOPATH DI2 O3 (0.127::0.158)(0.354::0.439))
)
)
)
(CELL
(CELLTYPE "CARRY4_DX")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI3 CO3 (0.113::0.140)(0.310::0.385))
)
)
)
(CELL
(CELLTYPE "CARRY4_LBOTH")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH CIN CO0 (0.086::0.113)(0.223::0.293))
(IOPATH CIN CO1 (0.052::0.064)(0.143::0.178))
(IOPATH CIN CO2 (0.075::0.094)(0.201::0.250))
(IOPATH CIN O0 (0.057::0.085)(0.159::0.235))
(IOPATH CIN O1 (0.098::0.122)(0.280::0.348))
(IOPATH CIN O2 (0.070::0.087)(0.206::0.256))
(IOPATH CIN O3 (0.092::0.114)(0.265::0.329))
(IOPATH CYINIT CO0 (0.177::0.220)(0.466::0.578))
(IOPATH CYINIT CO1 (0.152::0.189)(0.426::0.529))
(IOPATH CYINIT CO2 (0.180::0.224)(0.497::0.617))
(IOPATH CYINIT O0 (0.152::0.189)(0.395::0.491))
(IOPATH CYINIT O1 (0.183::0.228)(0.494::0.613))
(IOPATH CYINIT O2 (0.172::0.214)(0.483::0.600))
(IOPATH CYINIT O3 (0.194::0.241)(0.530::0.657))
)
)
)
(CELL
(CELLTYPE "CARRY4_LFF")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH CIN CO0 (0.076::0.100)(0.204::0.268))
(IOPATH CIN CO1 (0.044::0.055)(0.125::0.155))
(IOPATH CIN CO2 (0.064::0.080)(0.183::0.227))
(IOPATH CIN O0 (0.055::0.081)(0.151::0.223))
(IOPATH CIN O1 (0.090::0.112)(0.269::0.334))
(IOPATH CIN O2 (0.065::0.081)(0.192::0.239))
(IOPATH CIN O3 (0.090::0.112)(0.250::0.311))
(IOPATH CYINIT CO0 (0.165::0.206)(0.429::0.532))
(IOPATH CYINIT CO1 (0.144::0.180)(0.395::0.491))
(IOPATH CYINIT CO2 (0.168::0.209)(0.474::0.589))
(IOPATH CYINIT O0 (0.148::0.184)(0.385::0.477))
(IOPATH CYINIT O1 (0.175::0.218)(0.482::0.598))
(IOPATH CYINIT O2 (0.167::0.208)(0.468::0.581))
(IOPATH CYINIT O3 (0.189::0.235)(0.516::0.640))
)
)
)
(CELL
@ -288,6 +235,35 @@
)
)
)
(CELL
(CELLTYPE "FF_INIT")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.099::0.124)(0.244::0.303))
)
)
(TIMINGCHECK
(HOLD CE (posedge CLK) (-0.011::-0.009))
(SETUP CE (posedge CLK) (0.088::0.109))
)
)
(CELL
(CELLTYPE "FF_INIT_QH")
(INSTANCE SLICEL)
(TIMINGCHECK
(RECOVERY SR (posedge CLK) (0.288::0.358))
(REMOVAL SR (posedge CLK) (-0.305::-0.248))
)
)
(CELL
(CELLTYPE "FF_INIT_QL")
(INSTANCE SLICEL)
(TIMINGCHECK
(RECOVERY SR (posedge CLK) (0.326::0.404))
(REMOVAL SR (posedge CLK) (-0.305::-0.248))
)
)
(CELL
(CELLTYPE "REG_INIT_FF")
(INSTANCE SLICEL)
@ -302,44 +278,11 @@
)
)
(CELL
(CELLTYPE "CARRY4_CX_LFF")
(CELLTYPE "REG_INIT_FF_QH")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI2 CO2 (0.099::0.124)(0.286::0.354))
(IOPATH DI2 O3 (0.127::0.158)(0.354::0.439))
)
)
)
(CELL
(CELLTYPE "CARRY4_LFF")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH CIN CO0 (0.076::0.100)(0.204::0.268))
(IOPATH CIN CO1 (0.044::0.055)(0.125::0.155))
(IOPATH CIN CO2 (0.064::0.080)(0.183::0.227))
(IOPATH CIN O0 (0.055::0.081)(0.151::0.223))
(IOPATH CIN O1 (0.090::0.112)(0.269::0.334))
(IOPATH CIN O2 (0.065::0.081)(0.192::0.239))
(IOPATH CIN O3 (0.090::0.112)(0.250::0.311))
(IOPATH CYINIT CO0 (0.165::0.206)(0.429::0.532))
(IOPATH CYINIT CO1 (0.144::0.180)(0.395::0.491))
(IOPATH CYINIT CO2 (0.168::0.209)(0.474::0.589))
(IOPATH CYINIT O0 (0.148::0.184)(0.385::0.477))
(IOPATH CYINIT O1 (0.175::0.218)(0.482::0.598))
(IOPATH CYINIT O2 (0.167::0.208)(0.468::0.581))
(IOPATH CYINIT O3 (0.189::0.235)(0.516::0.640))
)
)
)
(CELL
(CELLTYPE "CARRY4_DX")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH DI3 CO3 (0.113::0.140)(0.310::0.385))
)
(TIMINGCHECK
(RECOVERY SR (posedge CLK) (0.288::0.358))
(REMOVAL SR (posedge CLK) (-0.305::-0.248))
)
)
(CELL
@ -351,49 +294,33 @@
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(INSTANCE SLICEL/F7AMUX)
(CELLTYPE "REG_INIT_LAT")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.053::0.067)(0.153::0.190))
(IOPATH 1 OUT (0.055::0.069)(0.156::0.193))
(IOPATH S0 OUT (0.085::0.106)(0.222::0.276))
(IOPATH CE Q (0.130::0.162)(0.409::0.507))
(IOPATH CLK Q (0.129::0.160)(0.357::0.443))
(IOPATH D Q (0.073::0.092)(0.213::0.264))
)
)
)
(CELL
(CELLTYPE "LUT6")
(INSTANCE SLICEL/C6LUT)
(CELLTYPE "REG_INIT_LAT_LOGIC_AND")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
(IOPATH D Q (0.073::0.092)(0.213::0.264))
(IOPATH SR Q (0.164::0.204)(0.638::0.791))
)
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(INSTANCE SLICEL/F7BMUX)
(CELLTYPE "REG_INIT_LAT_LOGIC_OR")
(INSTANCE SLICEL)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.062::0.077)(0.175::0.217))
(IOPATH 1 OUT (0.065::0.081)(0.180::0.223))
(IOPATH S0 OUT (0.093::0.115)(0.239::0.296))
)
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(INSTANCE SLICEL/F8MUX)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.023::0.028)(0.083::0.104))
(IOPATH 1 OUT (0.019::0.024)(0.076::0.094))
(IOPATH S0 OUT (0.080::0.100)(0.220::0.273))
(IOPATH D Q (0.073::0.092)(0.213::0.264))
(IOPATH SR Q (0.164::0.204)(0.638::0.791))
)
)
)
@ -410,20 +337,6 @@
)
)
)
(CELL
(CELLTYPE "LUT6")
(INSTANCE SLICEL/B6LUT)
(DELAY
(ABSOLUTE
(IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
)
)
)
(CELL
(CELLTYPE "LUT6")
(INSTANCE SLICEL/A6LUT)
@ -451,6 +364,60 @@
)
)
)
(CELL
(CELLTYPE "LUT6")
(INSTANCE SLICEL/B6LUT)
(DELAY
(ABSOLUTE
(IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
)
)
)
(CELL
(CELLTYPE "LUT5")
(INSTANCE SLICEL/C5LUT)
(DELAY
(ABSOLUTE
(IOPATH A1 O5 (0.044::0.055)(0.124::0.154))
(IOPATH A2 O5 (0.043::0.053)(0.124::0.154))
(IOPATH A3 O5 (0.042::0.052)(0.123::0.153))
(IOPATH A4 O5 (0.045::0.056)(0.123::0.153))
(IOPATH A5 O5 (0.051::0.063)(0.097::0.120))
)
)
)
(CELL
(CELLTYPE "LUT6")
(INSTANCE SLICEL/C6LUT)
(DELAY
(ABSOLUTE
(IOPATH A1 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A2 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A3 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A4 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A5 O6 (0.045::0.056)(0.100::0.124))
(IOPATH A6 O6 (0.045::0.056)(0.100::0.124))
)
)
)
(CELL
(CELLTYPE "LUT5")
(INSTANCE SLICEL/D5LUT)
(DELAY
(ABSOLUTE
(IOPATH A1 O5 (0.044::0.055)(0.120::0.149))
(IOPATH A2 O5 (0.043::0.054)(0.121::0.150))
(IOPATH A3 O5 (0.042::0.052)(0.120::0.149))
(IOPATH A4 O5 (0.044::0.055)(0.120::0.149))
(IOPATH A5 O5 (0.049::0.061)(0.094::0.117))
)
)
)
(CELL
(CELLTYPE "LUT6")
(INSTANCE SLICEL/D6LUT)
@ -465,4 +432,37 @@
)
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(INSTANCE SLICEL/F7AMUX)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.053::0.067)(0.153::0.190))
(IOPATH 1 OUT (0.055::0.069)(0.156::0.193))
(IOPATH S0 OUT (0.085::0.106)(0.222::0.276))
)
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(INSTANCE SLICEL/F7BMUX)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.062::0.077)(0.175::0.217))
(IOPATH 1 OUT (0.065::0.081)(0.180::0.223))
(IOPATH S0 OUT (0.093::0.115)(0.239::0.296))
)
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(INSTANCE SLICEL/F8MUX)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.023::0.028)(0.083::0.104))
(IOPATH 1 OUT (0.019::0.024)(0.076::0.094))
(IOPATH S0 OUT (0.080::0.100)(0.220::0.273))
)
)
)
)

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -3,14 +3,6 @@
(SDFVERSION "3.0")
(TIMESCALE 1ps)
(CELL
(CELLTYPE "BUFHCE_CE_TYPE_SYNC_INIT_OUT_0")
(INSTANCE BUFHCE)
(TIMINGCHECK
(HOLD I (posedge I) (0.180::0.202))
(SETUP I (posedge I) (0.246::0.275))
)
)
(CELL
(CELLTYPE "BUFHCE")
(INSTANCE BUFHCE)
@ -20,14 +12,6 @@
)
)
)
(CELL
(CELLTYPE "BUFHCE_CE_TYPE_SYNC_INIT_OUT_1")
(INSTANCE BUFHCE)
(TIMINGCHECK
(HOLD I (posedge I) (0.180::0.202))
(SETUP I (posedge I) (0.246::0.275))
)
)
(CELL
(CELLTYPE "BUFHCE_CE_TYPE_ASYNC")
(INSTANCE BUFHCE)
@ -37,4 +21,20 @@
)
)
)
(CELL
(CELLTYPE "BUFHCE_CE_TYPE_SYNC_INIT_OUT_0")
(INSTANCE BUFHCE)
(TIMINGCHECK
(HOLD CE (posedge I) (0.180::0.202))
(SETUP CE (posedge I) (0.246::0.275))
)
)
(CELL
(CELLTYPE "BUFHCE_CE_TYPE_SYNC_INIT_OUT_1")
(INSTANCE BUFHCE)
(TIMINGCHECK
(HOLD CE (posedge I) (0.180::0.202))
(SETUP CE (posedge I) (0.246::0.275))
)
)
)

View File

@ -3,14 +3,6 @@
(SDFVERSION "3.0")
(TIMESCALE 1ps)
(CELL
(CELLTYPE "BUFHCE_CE_TYPE_SYNC_INIT_OUT_0")
(INSTANCE BUFHCE)
(TIMINGCHECK
(HOLD I (posedge I) (0.180::0.202))
(SETUP I (posedge I) (0.246::0.275))
)
)
(CELL
(CELLTYPE "BUFHCE")
(INSTANCE BUFHCE)
@ -20,14 +12,6 @@
)
)
)
(CELL
(CELLTYPE "BUFHCE_CE_TYPE_SYNC_INIT_OUT_1")
(INSTANCE BUFHCE)
(TIMINGCHECK
(HOLD I (posedge I) (0.180::0.202))
(SETUP I (posedge I) (0.246::0.275))
)
)
(CELL
(CELLTYPE "BUFHCE_CE_TYPE_ASYNC")
(INSTANCE BUFHCE)
@ -37,4 +21,20 @@
)
)
)
(CELL
(CELLTYPE "BUFHCE_CE_TYPE_SYNC_INIT_OUT_0")
(INSTANCE BUFHCE)
(TIMINGCHECK
(HOLD CE (posedge I) (0.180::0.202))
(SETUP CE (posedge I) (0.246::0.275))
)
)
(CELL
(CELLTYPE "BUFHCE_CE_TYPE_SYNC_INIT_OUT_1")
(INSTANCE BUFHCE)
(TIMINGCHECK
(HOLD CE (posedge I) (0.180::0.202))
(SETUP CE (posedge I) (0.246::0.275))
)
)
)

View File

@ -4,67 +4,115 @@
(TIMESCALE 1ps)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D9")
(INSTANCE OUT_FIFO)
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO")
(INSTANCE IN_FIFO)
(DELAY
(ABSOLUTE
(IOPATH RDCLK ALMOSTEMPTY (0.196::0.226)(0.462::0.531))
(IOPATH RDCLK EMPTY (0.193::0.222)(0.536::0.617))
(IOPATH WRCLK ALMOSTFULL (0.153::0.176)(0.462::0.531))
(IOPATH WRCLK FULL (0.152::0.175)(0.665::0.765))
)
)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
(SETUP WRCLK (posedge WRCLK) (0.344::0.395))
(HOLD RDCLK (posedge RDCLK) (0.015::0.018))
(SETUP RDCLK (posedge RDCLK) (0.509::0.586))
(HOLD SCANENB (posedge RDCLK) (0.537::0.618))
(SETUP SCANENB (posedge RDCLK) (0.976::1.123))
(HOLD SCANENB (posedge WRCLK) (0.537::0.618))
(SETUP SCANENB (posedge WRCLK) (0.976::1.123))
(HOLD WREN (posedge WRCLK) (-0.019::-0.016))
(SETUP WREN (posedge WRCLK) (0.461::0.530))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D5")
(INSTANCE OUT_FIFO)
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D0")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.011::-0.010))
(SETUP WRCLK (posedge WRCLK) (0.354::0.408))
(HOLD WRCLK (posedge WRCLK) (-0.080::-0.070))
(SETUP WRCLK (posedge WRCLK) (0.473::0.544))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D3")
(INSTANCE OUT_FIFO)
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D1")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.012::-0.011))
(SETUP WRCLK (posedge WRCLK) (0.354::0.408))
(HOLD WRCLK (posedge WRCLK) (-0.069::-0.060))
(SETUP WRCLK (posedge WRCLK) (0.496::0.571))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D0")
(INSTANCE OUT_FIFO)
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D2")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.022::-0.019))
(SETUP WRCLK (posedge WRCLK) (0.381::0.438))
(HOLD WRCLK (posedge WRCLK) (-0.073::-0.063))
(SETUP WRCLK (posedge WRCLK) (0.456::0.524))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D4")
(INSTANCE OUT_FIFO)
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D3")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.012::-0.011))
(SETUP WRCLK (posedge WRCLK) (0.344::0.395))
(HOLD WRCLK (posedge WRCLK) (-0.050::-0.043))
(SETUP WRCLK (posedge WRCLK) (0.453::0.521))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D7")
(INSTANCE OUT_FIFO)
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D4")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
(SETUP WRCLK (posedge WRCLK) (0.344::0.395))
(HOLD WRCLK (posedge WRCLK) (-0.032::-0.028))
(SETUP WRCLK (posedge WRCLK) (0.413::0.475))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D2")
(INSTANCE OUT_FIFO)
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D5")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.026::-0.023))
(SETUP WRCLK (posedge WRCLK) (0.404::0.465))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D6")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.019::-0.016))
(SETUP WRCLK (posedge WRCLK) (0.367::0.422))
(SETUP WRCLK (posedge WRCLK) (0.414::0.476))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D8")
(INSTANCE OUT_FIFO)
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D7")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
(SETUP WRCLK (posedge WRCLK) (0.344::0.395))
(HOLD WRCLK (posedge WRCLK) (-0.032::-0.028))
(SETUP WRCLK (posedge WRCLK) (0.414::0.476))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D8")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.043::-0.037))
(SETUP WRCLK (posedge WRCLK) (0.429::0.494))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D9")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.040::-0.035))
(SETUP WRCLK (posedge WRCLK) (0.454::0.522))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_SCANIN")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD RDCLK (posedge RDCLK) (0.537::0.618))
(SETUP RDCLK (posedge RDCLK) (0.976::1.123))
(HOLD WRCLK (posedge WRCLK) (0.537::0.618))
(SETUP WRCLK (posedge WRCLK) (0.976::1.123))
)
)
(CELL
@ -83,12 +131,60 @@
(SETUP RDEN (posedge RDCLK) (0.566::0.651))
(HOLD SCANENB (posedge RDCLK) (0.537::0.618))
(SETUP SCANENB (posedge RDCLK) (0.976::1.123))
(HOLD WRCLK (posedge WRCLK) (0.537::0.618))
(SETUP WRCLK (posedge WRCLK) (0.976::1.123))
(HOLD SCANENB (posedge WRCLK) (0.537::0.618))
(SETUP SCANENB (posedge WRCLK) (0.976::1.123))
(HOLD WREN (posedge WRCLK) (-0.030::-0.026))
(SETUP WREN (posedge WRCLK) (0.373::0.430))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D0")
(INSTANCE OUT_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.022::-0.019))
(SETUP WRCLK (posedge WRCLK) (0.381::0.438))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D1")
(INSTANCE OUT_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.022::-0.019))
(SETUP WRCLK (posedge WRCLK) (0.381::0.438))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D2")
(INSTANCE OUT_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.019::-0.016))
(SETUP WRCLK (posedge WRCLK) (0.367::0.422))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D3")
(INSTANCE OUT_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.012::-0.011))
(SETUP WRCLK (posedge WRCLK) (0.354::0.408))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D4")
(INSTANCE OUT_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.012::-0.011))
(SETUP WRCLK (posedge WRCLK) (0.344::0.395))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D5")
(INSTANCE OUT_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.011::-0.010))
(SETUP WRCLK (posedge WRCLK) (0.354::0.408))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D6")
(INSTANCE OUT_FIFO)
@ -97,6 +193,30 @@
(SETUP WRCLK (posedge WRCLK) (0.344::0.395))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D7")
(INSTANCE OUT_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
(SETUP WRCLK (posedge WRCLK) (0.344::0.395))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D8")
(INSTANCE OUT_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
(SETUP WRCLK (posedge WRCLK) (0.344::0.395))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D9")
(INSTANCE OUT_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
(SETUP WRCLK (posedge WRCLK) (0.344::0.395))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_SCANIN")
(INSTANCE OUT_FIFO)
@ -107,124 +227,4 @@
(SETUP WRCLK (posedge WRCLK) (0.976::1.123))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D1")
(INSTANCE OUT_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.022::-0.019))
(SETUP WRCLK (posedge WRCLK) (0.381::0.438))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D3")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.050::-0.043))
(SETUP WRCLK (posedge WRCLK) (0.453::0.521))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D0")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.080::-0.070))
(SETUP WRCLK (posedge WRCLK) (0.473::0.544))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D8")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.043::-0.037))
(SETUP WRCLK (posedge WRCLK) (0.429::0.494))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D7")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.032::-0.028))
(SETUP WRCLK (posedge WRCLK) (0.414::0.476))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_SCANIN")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD RDCLK (posedge RDCLK) (0.537::0.618))
(SETUP RDCLK (posedge RDCLK) (0.976::1.123))
(HOLD WRCLK (posedge WRCLK) (0.537::0.618))
(SETUP WRCLK (posedge WRCLK) (0.976::1.123))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D4")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.032::-0.028))
(SETUP WRCLK (posedge WRCLK) (0.413::0.475))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D2")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.073::-0.063))
(SETUP WRCLK (posedge WRCLK) (0.456::0.524))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D5")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.026::-0.023))
(SETUP WRCLK (posedge WRCLK) (0.404::0.465))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D1")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.069::-0.060))
(SETUP WRCLK (posedge WRCLK) (0.496::0.571))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D6")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.019::-0.016))
(SETUP WRCLK (posedge WRCLK) (0.414::0.476))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO")
(INSTANCE IN_FIFO)
(DELAY
(ABSOLUTE
(IOPATH RDCLK ALMOSTEMPTY (0.196::0.226)(0.462::0.531))
(IOPATH RDCLK EMPTY (0.193::0.222)(0.536::0.617))
(IOPATH WRCLK ALMOSTFULL (0.153::0.176)(0.462::0.531))
(IOPATH WRCLK FULL (0.152::0.175)(0.665::0.765))
)
)
(TIMINGCHECK
(HOLD RDEN (posedge RDCLK) (0.015::0.018))
(SETUP RDEN (posedge RDCLK) (0.509::0.586))
(HOLD SCANENB (posedge RDCLK) (0.537::0.618))
(SETUP SCANENB (posedge RDCLK) (0.976::1.123))
(HOLD WRCLK (posedge WRCLK) (0.537::0.618))
(SETUP WRCLK (posedge WRCLK) (0.976::1.123))
(HOLD WREN (posedge WRCLK) (-0.019::-0.016))
(SETUP WREN (posedge WRCLK) (0.461::0.530))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D9")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.040::-0.035))
(SETUP WRCLK (posedge WRCLK) (0.454::0.522))
)
)
)

View File

@ -4,67 +4,115 @@
(TIMESCALE 1ps)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D9")
(INSTANCE OUT_FIFO)
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO")
(INSTANCE IN_FIFO)
(DELAY
(ABSOLUTE
(IOPATH RDCLK ALMOSTEMPTY (0.196::0.226)(0.462::0.531))
(IOPATH RDCLK EMPTY (0.193::0.222)(0.536::0.617))
(IOPATH WRCLK ALMOSTFULL (0.153::0.176)(0.462::0.531))
(IOPATH WRCLK FULL (0.152::0.175)(0.665::0.765))
)
)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
(SETUP WRCLK (posedge WRCLK) (0.344::0.395))
(HOLD RDCLK (posedge RDCLK) (0.015::0.018))
(SETUP RDCLK (posedge RDCLK) (0.509::0.586))
(HOLD SCANENB (posedge RDCLK) (0.537::0.618))
(SETUP SCANENB (posedge RDCLK) (0.976::1.123))
(HOLD SCANENB (posedge WRCLK) (0.537::0.618))
(SETUP SCANENB (posedge WRCLK) (0.976::1.123))
(HOLD WREN (posedge WRCLK) (-0.019::-0.016))
(SETUP WREN (posedge WRCLK) (0.461::0.530))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D5")
(INSTANCE OUT_FIFO)
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D0")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.011::-0.010))
(SETUP WRCLK (posedge WRCLK) (0.354::0.408))
(HOLD WRCLK (posedge WRCLK) (-0.080::-0.070))
(SETUP WRCLK (posedge WRCLK) (0.473::0.544))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D3")
(INSTANCE OUT_FIFO)
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D1")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.012::-0.011))
(SETUP WRCLK (posedge WRCLK) (0.354::0.408))
(HOLD WRCLK (posedge WRCLK) (-0.069::-0.060))
(SETUP WRCLK (posedge WRCLK) (0.496::0.571))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D0")
(INSTANCE OUT_FIFO)
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D2")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.022::-0.019))
(SETUP WRCLK (posedge WRCLK) (0.381::0.438))
(HOLD WRCLK (posedge WRCLK) (-0.073::-0.063))
(SETUP WRCLK (posedge WRCLK) (0.456::0.524))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D4")
(INSTANCE OUT_FIFO)
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D3")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.012::-0.011))
(SETUP WRCLK (posedge WRCLK) (0.344::0.395))
(HOLD WRCLK (posedge WRCLK) (-0.050::-0.043))
(SETUP WRCLK (posedge WRCLK) (0.453::0.521))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D7")
(INSTANCE OUT_FIFO)
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D4")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
(SETUP WRCLK (posedge WRCLK) (0.344::0.395))
(HOLD WRCLK (posedge WRCLK) (-0.032::-0.028))
(SETUP WRCLK (posedge WRCLK) (0.413::0.475))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D2")
(INSTANCE OUT_FIFO)
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D5")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.026::-0.023))
(SETUP WRCLK (posedge WRCLK) (0.404::0.465))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D6")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.019::-0.016))
(SETUP WRCLK (posedge WRCLK) (0.367::0.422))
(SETUP WRCLK (posedge WRCLK) (0.414::0.476))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D8")
(INSTANCE OUT_FIFO)
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D7")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
(SETUP WRCLK (posedge WRCLK) (0.344::0.395))
(HOLD WRCLK (posedge WRCLK) (-0.032::-0.028))
(SETUP WRCLK (posedge WRCLK) (0.414::0.476))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D8")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.043::-0.037))
(SETUP WRCLK (posedge WRCLK) (0.429::0.494))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D9")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.040::-0.035))
(SETUP WRCLK (posedge WRCLK) (0.454::0.522))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_SCANIN")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD RDCLK (posedge RDCLK) (0.537::0.618))
(SETUP RDCLK (posedge RDCLK) (0.976::1.123))
(HOLD WRCLK (posedge WRCLK) (0.537::0.618))
(SETUP WRCLK (posedge WRCLK) (0.976::1.123))
)
)
(CELL
@ -83,12 +131,60 @@
(SETUP RDEN (posedge RDCLK) (0.566::0.651))
(HOLD SCANENB (posedge RDCLK) (0.537::0.618))
(SETUP SCANENB (posedge RDCLK) (0.976::1.123))
(HOLD WRCLK (posedge WRCLK) (0.537::0.618))
(SETUP WRCLK (posedge WRCLK) (0.976::1.123))
(HOLD SCANENB (posedge WRCLK) (0.537::0.618))
(SETUP SCANENB (posedge WRCLK) (0.976::1.123))
(HOLD WREN (posedge WRCLK) (-0.030::-0.026))
(SETUP WREN (posedge WRCLK) (0.373::0.430))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D0")
(INSTANCE OUT_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.022::-0.019))
(SETUP WRCLK (posedge WRCLK) (0.381::0.438))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D1")
(INSTANCE OUT_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.022::-0.019))
(SETUP WRCLK (posedge WRCLK) (0.381::0.438))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D2")
(INSTANCE OUT_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.019::-0.016))
(SETUP WRCLK (posedge WRCLK) (0.367::0.422))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D3")
(INSTANCE OUT_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.012::-0.011))
(SETUP WRCLK (posedge WRCLK) (0.354::0.408))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D4")
(INSTANCE OUT_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.012::-0.011))
(SETUP WRCLK (posedge WRCLK) (0.344::0.395))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D5")
(INSTANCE OUT_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.011::-0.010))
(SETUP WRCLK (posedge WRCLK) (0.354::0.408))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D6")
(INSTANCE OUT_FIFO)
@ -97,6 +193,30 @@
(SETUP WRCLK (posedge WRCLK) (0.344::0.395))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D7")
(INSTANCE OUT_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
(SETUP WRCLK (posedge WRCLK) (0.344::0.395))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D8")
(INSTANCE OUT_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
(SETUP WRCLK (posedge WRCLK) (0.344::0.395))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D9")
(INSTANCE OUT_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.013::-0.012))
(SETUP WRCLK (posedge WRCLK) (0.344::0.395))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_SCANIN")
(INSTANCE OUT_FIFO)
@ -107,124 +227,4 @@
(SETUP WRCLK (posedge WRCLK) (0.976::1.123))
)
)
(CELL
(CELLTYPE "OUT_FIFO_OUT_FIFOOUT_FIFO_D1")
(INSTANCE OUT_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.022::-0.019))
(SETUP WRCLK (posedge WRCLK) (0.381::0.438))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D3")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.050::-0.043))
(SETUP WRCLK (posedge WRCLK) (0.453::0.521))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D0")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.080::-0.070))
(SETUP WRCLK (posedge WRCLK) (0.473::0.544))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D8")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.043::-0.037))
(SETUP WRCLK (posedge WRCLK) (0.429::0.494))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D7")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.032::-0.028))
(SETUP WRCLK (posedge WRCLK) (0.414::0.476))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_SCANIN")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD RDCLK (posedge RDCLK) (0.537::0.618))
(SETUP RDCLK (posedge RDCLK) (0.976::1.123))
(HOLD WRCLK (posedge WRCLK) (0.537::0.618))
(SETUP WRCLK (posedge WRCLK) (0.976::1.123))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D4")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.032::-0.028))
(SETUP WRCLK (posedge WRCLK) (0.413::0.475))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D2")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.073::-0.063))
(SETUP WRCLK (posedge WRCLK) (0.456::0.524))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D5")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.026::-0.023))
(SETUP WRCLK (posedge WRCLK) (0.404::0.465))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D1")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.069::-0.060))
(SETUP WRCLK (posedge WRCLK) (0.496::0.571))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D6")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.019::-0.016))
(SETUP WRCLK (posedge WRCLK) (0.414::0.476))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO")
(INSTANCE IN_FIFO)
(DELAY
(ABSOLUTE
(IOPATH RDCLK ALMOSTEMPTY (0.196::0.226)(0.462::0.531))
(IOPATH RDCLK EMPTY (0.193::0.222)(0.536::0.617))
(IOPATH WRCLK ALMOSTFULL (0.153::0.176)(0.462::0.531))
(IOPATH WRCLK FULL (0.152::0.175)(0.665::0.765))
)
)
(TIMINGCHECK
(HOLD RDEN (posedge RDCLK) (0.015::0.018))
(SETUP RDEN (posedge RDCLK) (0.509::0.586))
(HOLD SCANENB (posedge RDCLK) (0.537::0.618))
(SETUP SCANENB (posedge RDCLK) (0.976::1.123))
(HOLD WRCLK (posedge WRCLK) (0.537::0.618))
(SETUP WRCLK (posedge WRCLK) (0.976::1.123))
(HOLD WREN (posedge WRCLK) (-0.019::-0.016))
(SETUP WREN (posedge WRCLK) (0.461::0.530))
)
)
(CELL
(CELLTYPE "IN_FIFO_IN_FIFOIN_FIFO_D9")
(INSTANCE IN_FIFO)
(TIMINGCHECK
(HOLD WRCLK (posedge WRCLK) (-0.040::-0.035))
(SETUP WRCLK (posedge WRCLK) (0.454::0.522))
)
)
)

View File

@ -18,10 +18,10 @@
(TIMINGCHECK
(HOLD DEN (posedge DCLK) (0.000::0.000))
(SETUP DEN (posedge DCLK) (2.156::2.290))
(HOLD DWE (posedge DCLK) (0.141::0.150))
(SETUP DWE (posedge DCLK) (1.527::1.622))
(HOLD PSCLK (posedge PSCLK) (0.000::0.000))
(SETUP PSCLK (posedge PSCLK) (0.979::1.040))
(HOLD DCLK (posedge DCLK) (0.141::0.150))
(SETUP DCLK (posedge DCLK) (1.527::1.622))
(HOLD PSEN (posedge PSCLK) (0.000::0.000))
(SETUP PSEN (posedge PSCLK) (0.979::1.040))
(HOLD PSINCDEC (posedge PSCLK) (0.000::0.000))
(SETUP PSINCDEC (posedge PSCLK) (0.979::1.040))
)
@ -36,24 +36,6 @@
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_FALSE_COMPENSATION_ZHOLD")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 CLKOUT4 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT4 (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_DADDR")
(INSTANCE MMCME2_ADV)
(TIMINGCHECK
(HOLD DCLK (posedge DCLK) (0.141::0.150))
(SETUP DCLK (posedge DCLK) (1.527::1.622))
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_FALSE_COMPENSATION_EXTERNAL")
(INSTANCE MMCME2_ADV)
@ -75,7 +57,7 @@
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_TRUE_COMPENSATION_EXTERNAL")
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_FALSE_COMPENSATION_ZHOLD")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
@ -84,89 +66,6 @@
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_EXTERNAL")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 CLKFBOUT (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKFBOUTB (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT0 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT0B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT1 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT1B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT2 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT2B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT3 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT3B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT5 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT6 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKFBOUT (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKFBOUTB (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT0 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT0B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT1 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT1B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT2 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT2B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT3 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT3B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT5 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT6 (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_TRUE_COMPENSATION_INTERNAL")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 CLKOUT4 (0.263::0.279)(0.492::0.522))
(IOPATH CLKIN2 CLKOUT4 (0.263::0.279)(0.492::0.522))
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_ZHOLD")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 CLKFBOUT (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKFBOUTB (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT0 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT0B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT1 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT1B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT2 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT2B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT3 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT3B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT5 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT6 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKFBOUT (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKFBOUTB (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT0 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT0B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT1 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT1B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT2 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT2B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT3 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT3B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT5 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT6 (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_TMUX_MUX_SEL_01")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKFBIN TMUXOUT (0.527::0.560)(0.979::1.040))
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_TRUE_COMPENSATION_BUF_IN")
(INSTANCE MMCME2_ADV)
@ -178,52 +77,22 @@
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_TMUX_MUX_SEL_00")
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_TRUE_COMPENSATION_EXTERNAL")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 TMUXOUT (0.527::0.560)(0.979::1.040))
(IOPATH CLKIN2 TMUXOUT (0.527::0.560)(0.979::1.040))
(IOPATH CLKIN1 CLKOUT4 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT4 (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_DI")
(INSTANCE MMCME2_ADV)
(TIMINGCHECK
(HOLD DCLK (posedge DCLK) (0.141::0.150))
(SETUP DCLK (posedge DCLK) (1.527::1.622))
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_INTERNAL")
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_TRUE_COMPENSATION_INTERNAL")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 CLKFBOUT (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKFBOUTB (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT0 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT0B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT1 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT1B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT2 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT2B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT3 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT3B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT5 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT6 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKFBOUT (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKFBOUTB (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT0 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT0B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT1 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT1B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT2 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT2B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT3 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT3B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT5 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT6 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT4 (0.263::0.279)(0.492::0.522))
(IOPATH CLKIN2 CLKOUT4 (0.263::0.279)(0.492::0.522))
)
)
)
@ -269,4 +138,135 @@
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_EXTERNAL")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 CLKFBOUT (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKFBOUTB (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT0 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT0B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT1 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT1B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT2 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT2B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT3 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT3B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT5 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT6 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKFBOUT (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKFBOUTB (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT0 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT0B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT1 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT1B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT2 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT2B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT3 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT3B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT5 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT6 (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_INTERNAL")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 CLKFBOUT (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKFBOUTB (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT0 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT0B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT1 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT1B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT2 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT2B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT3 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT3B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT5 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT6 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKFBOUT (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKFBOUTB (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT0 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT0B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT1 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT1B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT2 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT2B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT3 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT3B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT5 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT6 (0.050::0.053)(0.083::0.088))
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_ZHOLD")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 CLKFBOUT (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKFBOUTB (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT0 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT0B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT1 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT1B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT2 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT2B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT3 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT3B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT5 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT6 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKFBOUT (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKFBOUTB (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT0 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT0B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT1 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT1B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT2 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT2B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT3 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT3B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT5 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT6 (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_DADDR")
(INSTANCE MMCME2_ADV)
(TIMINGCHECK
(HOLD DCLK (posedge DCLK) (0.141::0.150))
(SETUP DCLK (posedge DCLK) (1.527::1.622))
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_DI")
(INSTANCE MMCME2_ADV)
(TIMINGCHECK
(HOLD DCLK (posedge DCLK) (0.141::0.150))
(SETUP DCLK (posedge DCLK) (1.527::1.622))
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_TMUX_MUX_SEL_00")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 TMUXOUT (0.527::0.560)(0.979::1.040))
(IOPATH CLKIN2 TMUXOUT (0.527::0.560)(0.979::1.040))
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_TMUX_MUX_SEL_01")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKFBIN TMUXOUT (0.527::0.560)(0.979::1.040))
)
)
)
)

View File

@ -4,383 +4,11 @@
(TIMESCALE 1ps)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_FREQ_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_FREQ_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COUNTERLOADVAL")
(INSTANCE PHASER_OUT_PHY)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.168::0.178))
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_ENCALIB")
(INSTANCE PHASER_OUT_PHY)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.176::0.187))
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH SCANCLK SCANOUT (0.094::0.100)(0.186::0.198))
(IOPATH SYSCLK COARSEOVERFLOW (0.143::0.152)(0.274::0.291))
(IOPATH SYSCLK FINEOVERFLOW (0.182::0.193)(0.337::0.358))
)
)
(TIMINGCHECK
(HOLD BURSTPENDING (posedge SYSCLK) (0.000::0.000))
(SETUP BURSTPENDING (posedge SYSCLK) (0.126::0.134))
(HOLD COARSEENABLE (posedge SYSCLK) (0.050::0.053))
(SETUP COARSEENABLE (posedge SYSCLK) (0.114::0.121))
(HOLD COARSEINC (posedge SYSCLK) (0.000::0.000))
(SETUP COARSEINC (posedge SYSCLK) (0.133::0.141))
(HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
(SETUP SYSCLK (posedge SYSCLK) (0.099::0.105))
(HOLD COUNTERREADEN (posedge SYSCLK) (0.050::0.053))
(SETUP COUNTERREADEN (posedge SYSCLK) (0.073::0.077))
(HOLD EDGEADV (posedge SYSCLK) (0.000::0.000))
(SETUP EDGEADV (posedge SYSCLK) (0.027::0.029))
(HOLD FINEENABLE (posedge SYSCLK) (0.050::0.053))
(SETUP FINEENABLE (posedge SYSCLK) (0.169::0.179))
(HOLD FINEINC (posedge SYSCLK) (0.000::0.000))
(SETUP FINEINC (posedge SYSCLK) (0.050::0.053))
(HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
(SETUP SCANCLK (posedge SCANCLK) (0.488::0.518))
(HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
(SETUP SCANCLK (posedge SCANCLK) (0.194::0.206))
(HOLD SCANMODEB (posedge SCANCLK) (0.000::0.000))
(SETUP SCANMODEB (posedge SCANCLK) (0.966::1.026))
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_ENCALIB")
(INSTANCE PHASER_IN_PHY)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.217::0.230))
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_MEM_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_RANKSEL")
(INSTANCE PHASER_IN_PHY)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.228::0.242))
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_PHASE_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
)
)
)
@ -403,11 +31,12 @@
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_STG1REGL")
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_FREQ_REF")
(INSTANCE PHASER_IN_PHY)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.218::0.231))
(DELAY
(ABSOLUTE
(IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
@ -419,19 +48,6 @@
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH FREQREFCLK ICLK (0.000::0.000)(0.000::0.000))
(IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH FREQREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH FREQREFCLK RCLK (0.000::0.000)(0.000::0.000))
(IOPATH FREQREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_PHASE_REF")
(INSTANCE PHASER_IN_PHY)
@ -455,58 +71,40 @@
)
)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.040::0.042))
(HOLD BURSTPENDING (posedge SYSCLK) (0.000::0.000))
(SETUP BURSTPENDING (posedge SYSCLK) (0.040::0.042))
(HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
(SETUP SYSCLK (posedge SYSCLK) (0.099::0.105))
(HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
(SETUP SYSCLK (posedge SYSCLK) (0.095::0.101))
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.091::0.097))
(HOLD COUNTERREADEN (posedge SYSCLK) (0.050::0.053))
(SETUP COUNTERREADEN (posedge SYSCLK) (0.095::0.101))
(HOLD DIVIDERST (posedge SYSCLK) (0.000::0.000))
(SETUP DIVIDERST (posedge SYSCLK) (0.091::0.097))
(HOLD EDGEADV (posedge SYSCLK) (0.000::0.000))
(SETUP EDGEADV (posedge SYSCLK) (0.028::0.030))
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.170::0.180))
(HOLD ENSTG1 (posedge SYSCLK) (0.000::0.000))
(SETUP ENSTG1 (posedge SYSCLK) (0.170::0.180))
(HOLD ENSTG1ADJUSTB (posedge SYSCLK) (0.000::0.000))
(SETUP ENSTG1ADJUSTB (posedge SYSCLK) (0.227::0.241))
(HOLD FINEENABLE (posedge SYSCLK) (0.050::0.053))
(SETUP FINEENABLE (posedge SYSCLK) (0.072::0.076))
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.057::0.061))
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.156::0.166))
(HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
(SETUP SCANCLK (posedge SCANCLK) (0.212::0.225))
(HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
(SETUP SCANCLK (posedge SCANCLK) (0.030::0.032))
(HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
(SETUP SYSCLK (posedge SYSCLK) (0.072::0.076))
(HOLD FINEINC (posedge SYSCLK) (0.000::0.000))
(SETUP FINEINC (posedge SYSCLK) (0.057::0.061))
(HOLD RSTDQSFIND (posedge SYSCLK) (0.000::0.000))
(SETUP RSTDQSFIND (posedge SYSCLK) (0.156::0.166))
(HOLD SCANENB (posedge SCANCLK) (0.000::0.000))
(SETUP SCANENB (posedge SCANCLK) (0.212::0.225))
(HOLD SCANIN (posedge SCANCLK) (0.000::0.000))
(SETUP SCANIN (posedge SCANCLK) (0.030::0.032))
(HOLD SCANMODEB (posedge SCANCLK) (0.000::0.000))
(SETUP SCANMODEB (posedge SCANCLK) (0.526::0.559))
(HOLD SELCALORSTG1 (posedge SYSCLK) (0.000::0.000))
(SETUP SELCALORSTG1 (posedge SYSCLK) (0.046::0.049))
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.046::0.049))
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.227::0.241))
(HOLD STG1LOAD (posedge SYSCLK) (0.000::0.000))
(SETUP STG1LOAD (posedge SYSCLK) (0.218::0.232))
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.154::0.164))
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_FREQ_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
)
(SETUP SYSCLK (posedge SYSCLK) (0.218::0.232))
(HOLD STG1READ (posedge SYSCLK) (0.000::0.000))
(SETUP STG1READ (posedge SYSCLK) (0.154::0.164))
)
)
(CELL
@ -517,6 +115,53 @@
(SETUP SYSCLK (posedge SYSCLK) (0.067::0.071))
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_ENCALIB")
(INSTANCE PHASER_IN_PHY)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.217::0.230))
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH FREQREFCLK ICLK (0.000::0.000)(0.000::0.000))
(IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH FREQREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH FREQREFCLK RCLK (0.000::0.000)(0.000::0.000))
(IOPATH FREQREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_FREQ_REF")
(INSTANCE PHASER_IN_PHY)
@ -528,4 +173,359 @@
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_MEM_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_PHASE_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_RANKSEL")
(INSTANCE PHASER_IN_PHY)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.228::0.242))
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_STG1REGL")
(INSTANCE PHASER_IN_PHY)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.218::0.231))
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_FREQ_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH SCANCLK SCANOUT (0.094::0.100)(0.186::0.198))
(IOPATH SYSCLK COARSEOVERFLOW (0.143::0.152)(0.274::0.291))
(IOPATH SYSCLK FINEOVERFLOW (0.182::0.193)(0.337::0.358))
)
)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.126::0.134))
(HOLD COARSEENABLE (posedge SYSCLK) (0.050::0.053))
(SETUP COARSEENABLE (posedge SYSCLK) (0.114::0.121))
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.133::0.141))
(HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
(SETUP SYSCLK (posedge SYSCLK) (0.099::0.105))
(HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
(SETUP SYSCLK (posedge SYSCLK) (0.073::0.077))
(HOLD EDGEADV (posedge SYSCLK) (0.000::0.000))
(SETUP EDGEADV (posedge SYSCLK) (0.027::0.029))
(HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
(SETUP SYSCLK (posedge SYSCLK) (0.169::0.179))
(HOLD FINEINC (posedge SYSCLK) (0.000::0.000))
(SETUP FINEINC (posedge SYSCLK) (0.050::0.053))
(HOLD SCANENB (posedge SCANCLK) (0.000::0.000))
(SETUP SCANENB (posedge SCANCLK) (0.488::0.518))
(HOLD SCANIN (posedge SCANCLK) (0.000::0.000))
(SETUP SCANIN (posedge SCANCLK) (0.194::0.206))
(HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
(SETUP SCANCLK (posedge SCANCLK) (0.966::1.026))
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COUNTERLOADVAL")
(INSTANCE PHASER_OUT_PHY)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.168::0.178))
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_ENCALIB")
(INSTANCE PHASER_OUT_PHY)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.176::0.187))
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_FREQ_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
)

File diff suppressed because it is too large Load Diff

View File

@ -4,13 +4,43 @@
(TIMESCALE 1ps)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_DADDR")
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV")
(INSTANCE PLLE2_ADV)
(DELAY
(ABSOLUTE
(IOPATH DCLK DRDY (0.286::0.304)(0.927::0.984))
(IOPATH RST LOCKED (3.000::3.000)(3.000::3.000))
)
)
(TIMINGCHECK
(HOLD DEN (posedge DCLK) (0.000::0.000))
(SETUP DEN (posedge DCLK) (2.156::2.290))
(HOLD DCLK (posedge DCLK) (0.141::0.150))
(SETUP DCLK (posedge DCLK) (1.527::1.622))
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_COMPENSATION_BUF_IN")
(INSTANCE PLLE2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 CLKFBOUT (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT0 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT1 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT2 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT3 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT4 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT5 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKFBOUT (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT0 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT1 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT2 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT3 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT4 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT5 (0.144::-0.210)(0.360::-0.140))
)
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_COMPENSATION_EXTERNAL")
(INSTANCE PLLE2_ADV)
@ -55,46 +85,6 @@
)
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_DI")
(INSTANCE PLLE2_ADV)
(TIMINGCHECK
(HOLD DCLK (posedge DCLK) (0.141::0.150))
(SETUP DCLK (posedge DCLK) (1.527::1.622))
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_TMUX_MUX_SEL_00")
(INSTANCE PLLE2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 TMUXOUT (0.527::0.560)(0.979::1.040))
(IOPATH CLKIN2 TMUXOUT (0.527::0.560)(0.979::1.040))
)
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_COMPENSATION_BUF_IN")
(INSTANCE PLLE2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 CLKFBOUT (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT0 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT1 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT2 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT3 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT4 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT5 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKFBOUT (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT0 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT1 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT2 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT3 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT4 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT5 (0.144::-0.210)(0.360::-0.140))
)
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_COMPENSATION_ZHOLD")
(INSTANCE PLLE2_ADV)
@ -117,6 +107,32 @@
)
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_DADDR")
(INSTANCE PLLE2_ADV)
(TIMINGCHECK
(HOLD DCLK (posedge DCLK) (0.141::0.150))
(SETUP DCLK (posedge DCLK) (1.527::1.622))
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_DI")
(INSTANCE PLLE2_ADV)
(TIMINGCHECK
(HOLD DCLK (posedge DCLK) (0.141::0.150))
(SETUP DCLK (posedge DCLK) (1.527::1.622))
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_TMUX_MUX_SEL_00")
(INSTANCE PLLE2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 TMUXOUT (0.527::0.560)(0.979::1.040))
(IOPATH CLKIN2 TMUXOUT (0.527::0.560)(0.979::1.040))
)
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_TMUX_MUX_SEL_01")
(INSTANCE PLLE2_ADV)
@ -126,20 +142,4 @@
)
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV")
(INSTANCE PLLE2_ADV)
(DELAY
(ABSOLUTE
(IOPATH DCLK DRDY (0.286::0.304)(0.927::0.984))
(IOPATH RST LOCKED (3.000::3.000)(3.000::3.000))
)
)
(TIMINGCHECK
(HOLD DEN (posedge DCLK) (0.000::0.000))
(SETUP DEN (posedge DCLK) (2.156::2.290))
(HOLD DWE (posedge DCLK) (0.141::0.150))
(SETUP DWE (posedge DCLK) (1.527::1.622))
)
)
)

View File

@ -18,10 +18,10 @@
(TIMINGCHECK
(HOLD DEN (posedge DCLK) (0.000::0.000))
(SETUP DEN (posedge DCLK) (2.156::2.290))
(HOLD DWE (posedge DCLK) (0.141::0.150))
(SETUP DWE (posedge DCLK) (1.527::1.622))
(HOLD PSCLK (posedge PSCLK) (0.000::0.000))
(SETUP PSCLK (posedge PSCLK) (0.979::1.040))
(HOLD DCLK (posedge DCLK) (0.141::0.150))
(SETUP DCLK (posedge DCLK) (1.527::1.622))
(HOLD PSEN (posedge PSCLK) (0.000::0.000))
(SETUP PSEN (posedge PSCLK) (0.979::1.040))
(HOLD PSINCDEC (posedge PSCLK) (0.000::0.000))
(SETUP PSINCDEC (posedge PSCLK) (0.979::1.040))
)
@ -36,24 +36,6 @@
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_FALSE_COMPENSATION_ZHOLD")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 CLKOUT4 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT4 (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_DADDR")
(INSTANCE MMCME2_ADV)
(TIMINGCHECK
(HOLD DCLK (posedge DCLK) (0.141::0.150))
(SETUP DCLK (posedge DCLK) (1.527::1.622))
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_FALSE_COMPENSATION_EXTERNAL")
(INSTANCE MMCME2_ADV)
@ -75,7 +57,7 @@
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_TRUE_COMPENSATION_EXTERNAL")
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_FALSE_COMPENSATION_ZHOLD")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
@ -84,89 +66,6 @@
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_EXTERNAL")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 CLKFBOUT (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKFBOUTB (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT0 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT0B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT1 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT1B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT2 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT2B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT3 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT3B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT5 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT6 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKFBOUT (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKFBOUTB (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT0 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT0B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT1 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT1B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT2 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT2B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT3 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT3B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT5 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT6 (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_TRUE_COMPENSATION_INTERNAL")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 CLKOUT4 (0.263::0.279)(0.492::0.522))
(IOPATH CLKIN2 CLKOUT4 (0.263::0.279)(0.492::0.522))
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_ZHOLD")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 CLKFBOUT (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKFBOUTB (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT0 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT0B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT1 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT1B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT2 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT2B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT3 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT3B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT5 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT6 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKFBOUT (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKFBOUTB (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT0 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT0B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT1 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT1B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT2 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT2B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT3 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT3B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT5 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT6 (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_TMUX_MUX_SEL_01")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKFBIN TMUXOUT (0.527::0.560)(0.979::1.040))
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_TRUE_COMPENSATION_BUF_IN")
(INSTANCE MMCME2_ADV)
@ -178,52 +77,22 @@
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_TMUX_MUX_SEL_00")
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_TRUE_COMPENSATION_EXTERNAL")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 TMUXOUT (0.527::0.560)(0.979::1.040))
(IOPATH CLKIN2 TMUXOUT (0.527::0.560)(0.979::1.040))
(IOPATH CLKIN1 CLKOUT4 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT4 (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_DI")
(INSTANCE MMCME2_ADV)
(TIMINGCHECK
(HOLD DCLK (posedge DCLK) (0.141::0.150))
(SETUP DCLK (posedge DCLK) (1.527::1.622))
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_INTERNAL")
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_CLKOUT4_CASCADE_TRUE_COMPENSATION_INTERNAL")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 CLKFBOUT (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKFBOUTB (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT0 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT0B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT1 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT1B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT2 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT2B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT3 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT3B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT5 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT6 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKFBOUT (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKFBOUTB (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT0 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT0B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT1 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT1B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT2 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT2B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT3 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT3B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT5 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT6 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT4 (0.263::0.279)(0.492::0.522))
(IOPATH CLKIN2 CLKOUT4 (0.263::0.279)(0.492::0.522))
)
)
)
@ -269,4 +138,135 @@
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_EXTERNAL")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 CLKFBOUT (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKFBOUTB (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT0 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT0B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT1 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT1B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT2 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT2B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT3 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT3B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT5 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT6 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKFBOUT (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKFBOUTB (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT0 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT0B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT1 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT1B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT2 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT2B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT3 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT3B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT5 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT6 (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_INTERNAL")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 CLKFBOUT (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKFBOUTB (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT0 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT0B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT1 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT1B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT2 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT2B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT3 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT3B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT5 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN1 CLKOUT6 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKFBOUT (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKFBOUTB (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT0 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT0B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT1 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT1B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT2 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT2B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT3 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT3B (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT5 (0.050::0.053)(0.083::0.088))
(IOPATH CLKIN2 CLKOUT6 (0.050::0.053)(0.083::0.088))
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_COMPENSATION_ZHOLD")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 CLKFBOUT (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKFBOUTB (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT0 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT0B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT1 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT1B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT2 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT2B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT3 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT3B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT5 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN1 CLKOUT6 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKFBOUT (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKFBOUTB (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT0 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT0B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT1 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT1B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT2 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT2B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT3 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT3B (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT5 (0.000::0.000)(0.000::0.000))
(IOPATH CLKIN2 CLKOUT6 (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_DADDR")
(INSTANCE MMCME2_ADV)
(TIMINGCHECK
(HOLD DCLK (posedge DCLK) (0.141::0.150))
(SETUP DCLK (posedge DCLK) (1.527::1.622))
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_DI")
(INSTANCE MMCME2_ADV)
(TIMINGCHECK
(HOLD DCLK (posedge DCLK) (0.141::0.150))
(SETUP DCLK (posedge DCLK) (1.527::1.622))
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_TMUX_MUX_SEL_00")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 TMUXOUT (0.527::0.560)(0.979::1.040))
(IOPATH CLKIN2 TMUXOUT (0.527::0.560)(0.979::1.040))
)
)
)
(CELL
(CELLTYPE "MMCME2_ADV_MMCME2_ADVMMCME2_ADV_TMUX_MUX_SEL_01")
(INSTANCE MMCME2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKFBIN TMUXOUT (0.527::0.560)(0.979::1.040))
)
)
)
)

View File

@ -4,383 +4,11 @@
(TIMESCALE 1ps)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_FREQ_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_FREQ_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COUNTERLOADVAL")
(INSTANCE PHASER_OUT_PHY)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.168::0.178))
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_ENCALIB")
(INSTANCE PHASER_OUT_PHY)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.176::0.187))
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH SCANCLK SCANOUT (0.094::0.100)(0.186::0.198))
(IOPATH SYSCLK COARSEOVERFLOW (0.143::0.152)(0.274::0.291))
(IOPATH SYSCLK FINEOVERFLOW (0.182::0.193)(0.337::0.358))
)
)
(TIMINGCHECK
(HOLD BURSTPENDING (posedge SYSCLK) (0.000::0.000))
(SETUP BURSTPENDING (posedge SYSCLK) (0.126::0.134))
(HOLD COARSEENABLE (posedge SYSCLK) (0.050::0.053))
(SETUP COARSEENABLE (posedge SYSCLK) (0.114::0.121))
(HOLD COARSEINC (posedge SYSCLK) (0.000::0.000))
(SETUP COARSEINC (posedge SYSCLK) (0.133::0.141))
(HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
(SETUP SYSCLK (posedge SYSCLK) (0.099::0.105))
(HOLD COUNTERREADEN (posedge SYSCLK) (0.050::0.053))
(SETUP COUNTERREADEN (posedge SYSCLK) (0.073::0.077))
(HOLD EDGEADV (posedge SYSCLK) (0.000::0.000))
(SETUP EDGEADV (posedge SYSCLK) (0.027::0.029))
(HOLD FINEENABLE (posedge SYSCLK) (0.050::0.053))
(SETUP FINEENABLE (posedge SYSCLK) (0.169::0.179))
(HOLD FINEINC (posedge SYSCLK) (0.000::0.000))
(SETUP FINEINC (posedge SYSCLK) (0.050::0.053))
(HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
(SETUP SCANCLK (posedge SCANCLK) (0.488::0.518))
(HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
(SETUP SCANCLK (posedge SCANCLK) (0.194::0.206))
(HOLD SCANMODEB (posedge SCANCLK) (0.000::0.000))
(SETUP SCANMODEB (posedge SCANCLK) (0.966::1.026))
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_ENCALIB")
(INSTANCE PHASER_IN_PHY)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.217::0.230))
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_MEM_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_RANKSEL")
(INSTANCE PHASER_IN_PHY)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.228::0.242))
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_PHASE_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
)
)
)
@ -403,11 +31,12 @@
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_STG1REGL")
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_FREQ_REF")
(INSTANCE PHASER_IN_PHY)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.218::0.231))
(DELAY
(ABSOLUTE
(IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
@ -419,19 +48,6 @@
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH FREQREFCLK ICLK (0.000::0.000)(0.000::0.000))
(IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH FREQREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH FREQREFCLK RCLK (0.000::0.000)(0.000::0.000))
(IOPATH FREQREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_PHASE_REF")
(INSTANCE PHASER_IN_PHY)
@ -455,58 +71,40 @@
)
)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.040::0.042))
(HOLD BURSTPENDING (posedge SYSCLK) (0.000::0.000))
(SETUP BURSTPENDING (posedge SYSCLK) (0.040::0.042))
(HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
(SETUP SYSCLK (posedge SYSCLK) (0.099::0.105))
(HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
(SETUP SYSCLK (posedge SYSCLK) (0.095::0.101))
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.091::0.097))
(HOLD COUNTERREADEN (posedge SYSCLK) (0.050::0.053))
(SETUP COUNTERREADEN (posedge SYSCLK) (0.095::0.101))
(HOLD DIVIDERST (posedge SYSCLK) (0.000::0.000))
(SETUP DIVIDERST (posedge SYSCLK) (0.091::0.097))
(HOLD EDGEADV (posedge SYSCLK) (0.000::0.000))
(SETUP EDGEADV (posedge SYSCLK) (0.028::0.030))
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.170::0.180))
(HOLD ENSTG1 (posedge SYSCLK) (0.000::0.000))
(SETUP ENSTG1 (posedge SYSCLK) (0.170::0.180))
(HOLD ENSTG1ADJUSTB (posedge SYSCLK) (0.000::0.000))
(SETUP ENSTG1ADJUSTB (posedge SYSCLK) (0.227::0.241))
(HOLD FINEENABLE (posedge SYSCLK) (0.050::0.053))
(SETUP FINEENABLE (posedge SYSCLK) (0.072::0.076))
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.057::0.061))
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.156::0.166))
(HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
(SETUP SCANCLK (posedge SCANCLK) (0.212::0.225))
(HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
(SETUP SCANCLK (posedge SCANCLK) (0.030::0.032))
(HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
(SETUP SYSCLK (posedge SYSCLK) (0.072::0.076))
(HOLD FINEINC (posedge SYSCLK) (0.000::0.000))
(SETUP FINEINC (posedge SYSCLK) (0.057::0.061))
(HOLD RSTDQSFIND (posedge SYSCLK) (0.000::0.000))
(SETUP RSTDQSFIND (posedge SYSCLK) (0.156::0.166))
(HOLD SCANENB (posedge SCANCLK) (0.000::0.000))
(SETUP SCANENB (posedge SCANCLK) (0.212::0.225))
(HOLD SCANIN (posedge SCANCLK) (0.000::0.000))
(SETUP SCANIN (posedge SCANCLK) (0.030::0.032))
(HOLD SCANMODEB (posedge SCANCLK) (0.000::0.000))
(SETUP SCANMODEB (posedge SCANCLK) (0.526::0.559))
(HOLD SELCALORSTG1 (posedge SYSCLK) (0.000::0.000))
(SETUP SELCALORSTG1 (posedge SYSCLK) (0.046::0.049))
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.046::0.049))
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.227::0.241))
(HOLD STG1LOAD (posedge SYSCLK) (0.000::0.000))
(SETUP STG1LOAD (posedge SYSCLK) (0.218::0.232))
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.154::0.164))
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_FREQ_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
)
(SETUP SYSCLK (posedge SYSCLK) (0.218::0.232))
(HOLD STG1READ (posedge SYSCLK) (0.000::0.000))
(SETUP STG1READ (posedge SYSCLK) (0.154::0.164))
)
)
(CELL
@ -517,6 +115,53 @@
(SETUP SYSCLK (posedge SYSCLK) (0.067::0.071))
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_ENCALIB")
(INSTANCE PHASER_IN_PHY)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.217::0.230))
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_MEM_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH FREQREFCLK ICLK (0.000::0.000)(0.000::0.000))
(IOPATH FREQREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH FREQREFCLK ISERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH FREQREFCLK RCLK (0.000::0.000)(0.000::0.000))
(IOPATH FREQREFCLK WRENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_FREQ_REF")
(INSTANCE PHASER_IN_PHY)
@ -528,4 +173,359 @@
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_MEM_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK ICLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK RCLK (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_OUTPUT_CLK_SRC_PHASE_REF")
(INSTANCE PHASER_IN_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK ICLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK ICLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK RCLK (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_RANKSEL")
(INSTANCE PHASER_IN_PHY)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.228::0.242))
)
)
(CELL
(CELLTYPE "PHASER_IN_PHY_PHASER_IN_PHYPHASER_IN_PHY_STG1REGL")
(INSTANCE PHASER_IN_PHY)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.218::0.231))
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_FREQ_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_OUTPUT_CLK_SRC_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH SCANCLK SCANOUT (0.094::0.100)(0.186::0.198))
(IOPATH SYSCLK COARSEOVERFLOW (0.143::0.152)(0.274::0.291))
(IOPATH SYSCLK FINEOVERFLOW (0.182::0.193)(0.337::0.358))
)
)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.126::0.134))
(HOLD COARSEENABLE (posedge SYSCLK) (0.050::0.053))
(SETUP COARSEENABLE (posedge SYSCLK) (0.114::0.121))
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.133::0.141))
(HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
(SETUP SYSCLK (posedge SYSCLK) (0.099::0.105))
(HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
(SETUP SYSCLK (posedge SYSCLK) (0.073::0.077))
(HOLD EDGEADV (posedge SYSCLK) (0.000::0.000))
(SETUP EDGEADV (posedge SYSCLK) (0.027::0.029))
(HOLD SYSCLK (posedge SYSCLK) (0.050::0.053))
(SETUP SYSCLK (posedge SYSCLK) (0.169::0.179))
(HOLD FINEINC (posedge SYSCLK) (0.000::0.000))
(SETUP FINEINC (posedge SYSCLK) (0.050::0.053))
(HOLD SCANENB (posedge SCANCLK) (0.000::0.000))
(SETUP SCANENB (posedge SCANCLK) (0.488::0.518))
(HOLD SCANIN (posedge SCANCLK) (0.000::0.000))
(SETUP SCANIN (posedge SCANCLK) (0.194::0.206))
(HOLD SCANCLK (posedge SCANCLK) (0.000::0.000))
(SETUP SCANCLK (posedge SCANCLK) (0.966::1.026))
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_FALSE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OCLKDELAY_INV_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLKDELAYED (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COARSE_BYPASS_TRUE_OUTPUT_CLK_SRC_DELAYED_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK OSERDESRST (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK RDENABLE (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_COUNTERLOADVAL")
(INSTANCE PHASER_OUT_PHY)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.168::0.178))
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_ENCALIB")
(INSTANCE PHASER_OUT_PHY)
(TIMINGCHECK
(HOLD SYSCLK (posedge SYSCLK) (0.000::0.000))
(SETUP SYSCLK (posedge SYSCLK) (0.176::0.187))
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_FREQ_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH MEMREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH MEMREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
(CELL
(CELLTYPE "PHASER_OUT_PHY_PHASER_OUT_PHYPHASER_OUT_PHY_OUTPUT_CLK_SRC_PHASE_REF")
(INSTANCE PHASER_OUT_PHY)
(DELAY
(ABSOLUTE
(IOPATH PHASEREFCLK OCLK (0.000::0.000)(0.000::0.000))
(IOPATH PHASEREFCLK OCLKDIV (0.000::0.000)(0.000::0.000))
)
)
)
)

File diff suppressed because it is too large Load Diff

View File

@ -4,13 +4,43 @@
(TIMESCALE 1ps)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_DADDR")
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV")
(INSTANCE PLLE2_ADV)
(DELAY
(ABSOLUTE
(IOPATH DCLK DRDY (0.286::0.304)(0.927::0.984))
(IOPATH RST LOCKED (3.000::3.000)(3.000::3.000))
)
)
(TIMINGCHECK
(HOLD DEN (posedge DCLK) (0.000::0.000))
(SETUP DEN (posedge DCLK) (2.156::2.290))
(HOLD DCLK (posedge DCLK) (0.141::0.150))
(SETUP DCLK (posedge DCLK) (1.527::1.622))
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_COMPENSATION_BUF_IN")
(INSTANCE PLLE2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 CLKFBOUT (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT0 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT1 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT2 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT3 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT4 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT5 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKFBOUT (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT0 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT1 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT2 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT3 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT4 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT5 (0.144::-0.210)(0.360::-0.140))
)
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_COMPENSATION_EXTERNAL")
(INSTANCE PLLE2_ADV)
@ -55,46 +85,6 @@
)
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_DI")
(INSTANCE PLLE2_ADV)
(TIMINGCHECK
(HOLD DCLK (posedge DCLK) (0.141::0.150))
(SETUP DCLK (posedge DCLK) (1.527::1.622))
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_TMUX_MUX_SEL_00")
(INSTANCE PLLE2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 TMUXOUT (0.527::0.560)(0.979::1.040))
(IOPATH CLKIN2 TMUXOUT (0.527::0.560)(0.979::1.040))
)
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_COMPENSATION_BUF_IN")
(INSTANCE PLLE2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 CLKFBOUT (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT0 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT1 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT2 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT3 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT4 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN1 CLKOUT5 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKFBOUT (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT0 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT1 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT2 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT3 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT4 (0.144::-0.210)(0.360::-0.140))
(IOPATH CLKIN2 CLKOUT5 (0.144::-0.210)(0.360::-0.140))
)
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_COMPENSATION_ZHOLD")
(INSTANCE PLLE2_ADV)
@ -117,6 +107,32 @@
)
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_DADDR")
(INSTANCE PLLE2_ADV)
(TIMINGCHECK
(HOLD DCLK (posedge DCLK) (0.141::0.150))
(SETUP DCLK (posedge DCLK) (1.527::1.622))
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_DI")
(INSTANCE PLLE2_ADV)
(TIMINGCHECK
(HOLD DCLK (posedge DCLK) (0.141::0.150))
(SETUP DCLK (posedge DCLK) (1.527::1.622))
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_TMUX_MUX_SEL_00")
(INSTANCE PLLE2_ADV)
(DELAY
(ABSOLUTE
(IOPATH CLKIN1 TMUXOUT (0.527::0.560)(0.979::1.040))
(IOPATH CLKIN2 TMUXOUT (0.527::0.560)(0.979::1.040))
)
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV_TMUX_MUX_SEL_01")
(INSTANCE PLLE2_ADV)
@ -126,20 +142,4 @@
)
)
)
(CELL
(CELLTYPE "PLLE2_ADV_PLLE2_ADVPLLE2_ADV")
(INSTANCE PLLE2_ADV)
(DELAY
(ABSOLUTE
(IOPATH DCLK DRDY (0.286::0.304)(0.927::0.984))
(IOPATH RST LOCKED (3.000::3.000)(3.000::3.000))
)
)
(TIMINGCHECK
(HOLD DEN (posedge DCLK) (0.000::0.000))
(SETUP DEN (posedge DCLK) (2.156::2.290))
(HOLD DWE (posedge DCLK) (0.141::0.150))
(SETUP DWE (posedge DCLK) (1.527::1.622))
)
)
)

View File

@ -3,174 +3,6 @@
(SDFVERSION "3.0")
(TIMESCALE 1ps)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_32")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXRATE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.119::0.126))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TX8B10BBYPASS")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.161::0.171))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPRBSSEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXSEQUENCE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDLEVEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.113::0.120))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_20")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPMODE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.170::0.181))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK2")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.121::0.129))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.444::0.472))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_40")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXDATA")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.173::0.184))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK2")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.158::0.168))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.466::0.495))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_32")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXRATE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.162))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARISK")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXPRBSSEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.121::0.128))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_20")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK (posedge TXUSRCLK) (0.121::0.129))
(SETUP TXUSRCLK (posedge TXUSRCLK) (0.444::0.472))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_SCANIN")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
(SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_DRPDI")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD DRPCLK (posedge DRPCLK) (0.154::0.164))
(SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXHEADER")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL")
(INSTANCE GTPE2_CHANNEL)
@ -212,79 +44,55 @@
(SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.105::0.111))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXCHBONDEN (posedge RXUSRCLK2) (0.107::0.114))
(SETUP RXCHBONDEN (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.097::0.103))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.107::0.114))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXCHBONDMASTER (posedge RXUSRCLK2) (0.097::0.103))
(SETUP RXCHBONDMASTER (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.098::0.104))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXCOMMADETEN (posedge RXUSRCLK2) (0.100::0.106))
(SETUP RXCOMMADETEN (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXGEARBOXSLIP (posedge RXUSRCLK2) (0.095::0.101))
(SETUP RXGEARBOXSLIP (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.100::0.106))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.095::0.101))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXMCOMMAALIGNEN (posedge RXUSRCLK2) (0.129::0.137))
(SETUP RXMCOMMAALIGNEN (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.132::0.140))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXPOLARITY (posedge RXUSRCLK2) (0.116::0.123))
(SETUP RXPOLARITY (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXPRBSCNTRESET (posedge RXUSRCLK2) (0.132::0.140))
(SETUP RXPRBSCNTRESET (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.133::0.141))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.116::0.123))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.132::0.140))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXSLIDE (posedge RXUSRCLK2) (0.133::0.141))
(SETUP RXSLIDE (posedge RXUSRCLK2) (0.680::0.722))
(HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
(SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
(HOLD SCANMODEB (posedge SCANCLK) (0.723::0.832))
(SETUP SCANMODEB (posedge SCANCLK) (1.220::1.404))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.209::0.246))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.163))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
(HOLD SETERRSTATUS (posedge RXUSRCLK2) (0.209::0.246))
(SETUP SETERRSTATUS (posedge RXUSRCLK2) (0.680::0.722))
(HOLD TX8B10BEN (posedge TXUSRCLK2) (0.153::0.163))
(SETUP TX8B10BEN (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXCOMINIT (posedge TXUSRCLK2) (0.172::0.183))
(SETUP TXCOMINIT (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXCOMSAS (posedge TXUSRCLK2) (0.136::0.144))
(SETUP TXCOMSAS (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXDETECTRX (posedge TXUSRCLK2) (0.169::0.179))
(SETUP TXDETECTRX (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.169::0.179))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXDLYHOLD (posedge TXPHDLYTSTCLK) (0.387::0.617))
(SETUP TXDLYHOLD (posedge TXPHDLYTSTCLK) (0.581::0.925))
(HOLD TXDLYUPDOWN (posedge TXPHDLYTSTCLK) (0.387::0.617))
(SETUP TXDLYUPDOWN (posedge TXPHDLYTSTCLK) (0.581::0.925))
(HOLD TXPHDLYTSTCLK (posedge TXPHDLYTSTCLK) (0.387::0.617))
(SETUP TXPHDLYTSTCLK (posedge TXPHDLYTSTCLK) (0.581::0.925))
(HOLD TXELECIDLE (posedge TXUSRCLK2) (0.072::0.076))
(SETUP TXELECIDLE (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXINHIBIT (posedge TXUSRCLK2) (0.153::0.162))
(SETUP TXINHIBIT (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.143::0.152))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXPRBSFORCEERR (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXPRBSFORCEERR (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXSTARTSEQ (posedge TXUSRCLK2) (0.148::0.157))
(SETUP TXSTARTSEQ (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_16")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPD")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.209::0.246))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPVAL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.148::0.157))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
@ -297,7 +105,31 @@
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_16")
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_DRPDI")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD DRPCLK (posedge DRPCLK) (0.154::0.164))
(SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_16")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_20")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_32")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
@ -312,6 +144,142 @@
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_32")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_40")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_16")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.159::0.183))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_20")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.159::0.183))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDLEVEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.113::0.120))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXPRBSSEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.121::0.128))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXRATE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.119::0.126))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_SCANIN")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
(SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TX8B10BBYPASS")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.161::0.171))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPMODE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.170::0.181))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPVAL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARISK")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXDATA")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.173::0.184))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXHEADER")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPD")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.209::0.246))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXPIPPMEN (posedge TXUSRCLK) (0.121::0.129))
(SETUP TXPIPPMEN (posedge TXUSRCLK) (0.444::0.472))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK2")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXPIPPMEN (posedge TXUSRCLK2) (0.121::0.129))
(SETUP TXPIPPMEN (posedge TXUSRCLK2) (0.444::0.472))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK")
(INSTANCE GTPE2_CHANNEL)
@ -320,4 +288,36 @@
(SETUP TXUSRCLK (posedge TXUSRCLK) (0.466::0.495))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK2")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.158::0.168))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.466::0.495))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPRBSSEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXRATE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.162))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXSEQUENCE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
)

View File

@ -3,174 +3,6 @@
(SDFVERSION "3.0")
(TIMESCALE 1ps)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_32")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXRATE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.119::0.126))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TX8B10BBYPASS")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.161::0.171))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPRBSSEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXSEQUENCE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDLEVEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.113::0.120))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_20")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPMODE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.170::0.181))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK2")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.121::0.129))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.444::0.472))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_40")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXDATA")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.173::0.184))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK2")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.158::0.168))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.466::0.495))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_32")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXRATE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.162))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARISK")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXPRBSSEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.121::0.128))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_20")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK (posedge TXUSRCLK) (0.121::0.129))
(SETUP TXUSRCLK (posedge TXUSRCLK) (0.444::0.472))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_SCANIN")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
(SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_DRPDI")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD DRPCLK (posedge DRPCLK) (0.154::0.164))
(SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXHEADER")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL")
(INSTANCE GTPE2_CHANNEL)
@ -212,79 +44,55 @@
(SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.105::0.111))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXCHBONDEN (posedge RXUSRCLK2) (0.107::0.114))
(SETUP RXCHBONDEN (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.097::0.103))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.107::0.114))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXCHBONDMASTER (posedge RXUSRCLK2) (0.097::0.103))
(SETUP RXCHBONDMASTER (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.098::0.104))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXCOMMADETEN (posedge RXUSRCLK2) (0.100::0.106))
(SETUP RXCOMMADETEN (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXGEARBOXSLIP (posedge RXUSRCLK2) (0.095::0.101))
(SETUP RXGEARBOXSLIP (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.100::0.106))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.095::0.101))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXMCOMMAALIGNEN (posedge RXUSRCLK2) (0.129::0.137))
(SETUP RXMCOMMAALIGNEN (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.132::0.140))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXPOLARITY (posedge RXUSRCLK2) (0.116::0.123))
(SETUP RXPOLARITY (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXPRBSCNTRESET (posedge RXUSRCLK2) (0.132::0.140))
(SETUP RXPRBSCNTRESET (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.133::0.141))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.116::0.123))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.132::0.140))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXSLIDE (posedge RXUSRCLK2) (0.133::0.141))
(SETUP RXSLIDE (posedge RXUSRCLK2) (0.680::0.722))
(HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
(SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
(HOLD SCANMODEB (posedge SCANCLK) (0.723::0.832))
(SETUP SCANMODEB (posedge SCANCLK) (1.220::1.404))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.209::0.246))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.163))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
(HOLD SETERRSTATUS (posedge RXUSRCLK2) (0.209::0.246))
(SETUP SETERRSTATUS (posedge RXUSRCLK2) (0.680::0.722))
(HOLD TX8B10BEN (posedge TXUSRCLK2) (0.153::0.163))
(SETUP TX8B10BEN (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXCOMINIT (posedge TXUSRCLK2) (0.172::0.183))
(SETUP TXCOMINIT (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXCOMSAS (posedge TXUSRCLK2) (0.136::0.144))
(SETUP TXCOMSAS (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXDETECTRX (posedge TXUSRCLK2) (0.169::0.179))
(SETUP TXDETECTRX (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.169::0.179))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXDLYHOLD (posedge TXPHDLYTSTCLK) (0.387::0.617))
(SETUP TXDLYHOLD (posedge TXPHDLYTSTCLK) (0.581::0.925))
(HOLD TXDLYUPDOWN (posedge TXPHDLYTSTCLK) (0.387::0.617))
(SETUP TXDLYUPDOWN (posedge TXPHDLYTSTCLK) (0.581::0.925))
(HOLD TXPHDLYTSTCLK (posedge TXPHDLYTSTCLK) (0.387::0.617))
(SETUP TXPHDLYTSTCLK (posedge TXPHDLYTSTCLK) (0.581::0.925))
(HOLD TXELECIDLE (posedge TXUSRCLK2) (0.072::0.076))
(SETUP TXELECIDLE (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXINHIBIT (posedge TXUSRCLK2) (0.153::0.162))
(SETUP TXINHIBIT (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.143::0.152))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXPRBSFORCEERR (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXPRBSFORCEERR (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXSTARTSEQ (posedge TXUSRCLK2) (0.148::0.157))
(SETUP TXSTARTSEQ (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_16")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPD")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.209::0.246))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPVAL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.148::0.157))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
@ -297,7 +105,31 @@
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_16")
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_DRPDI")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD DRPCLK (posedge DRPCLK) (0.154::0.164))
(SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_16")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_20")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_32")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
@ -312,6 +144,142 @@
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_32")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_40")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_16")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.159::0.183))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_20")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.159::0.183))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDLEVEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.113::0.120))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXPRBSSEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.121::0.128))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXRATE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.119::0.126))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_SCANIN")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
(SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TX8B10BBYPASS")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.161::0.171))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPMODE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.170::0.181))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPVAL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARISK")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXDATA")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.173::0.184))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXHEADER")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPD")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.209::0.246))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXPIPPMEN (posedge TXUSRCLK) (0.121::0.129))
(SETUP TXPIPPMEN (posedge TXUSRCLK) (0.444::0.472))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK2")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXPIPPMEN (posedge TXUSRCLK2) (0.121::0.129))
(SETUP TXPIPPMEN (posedge TXUSRCLK2) (0.444::0.472))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK")
(INSTANCE GTPE2_CHANNEL)
@ -320,4 +288,36 @@
(SETUP TXUSRCLK (posedge TXUSRCLK) (0.466::0.495))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK2")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.158::0.168))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.466::0.495))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPRBSSEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXRATE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.162))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXSEQUENCE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
)

View File

@ -3,174 +3,6 @@
(SDFVERSION "3.0")
(TIMESCALE 1ps)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_32")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXRATE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.119::0.126))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TX8B10BBYPASS")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.161::0.171))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPRBSSEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXSEQUENCE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDLEVEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.113::0.120))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_20")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPMODE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.170::0.181))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK2")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.121::0.129))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.444::0.472))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_40")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXDATA")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.173::0.184))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK2")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.158::0.168))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.466::0.495))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_32")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXRATE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.162))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARISK")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXPRBSSEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.121::0.128))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_20")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK (posedge TXUSRCLK) (0.121::0.129))
(SETUP TXUSRCLK (posedge TXUSRCLK) (0.444::0.472))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_SCANIN")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
(SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_DRPDI")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD DRPCLK (posedge DRPCLK) (0.154::0.164))
(SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXHEADER")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL")
(INSTANCE GTPE2_CHANNEL)
@ -212,79 +44,55 @@
(SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.105::0.111))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXCHBONDEN (posedge RXUSRCLK2) (0.107::0.114))
(SETUP RXCHBONDEN (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.097::0.103))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.107::0.114))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXCHBONDMASTER (posedge RXUSRCLK2) (0.097::0.103))
(SETUP RXCHBONDMASTER (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.098::0.104))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXCOMMADETEN (posedge RXUSRCLK2) (0.100::0.106))
(SETUP RXCOMMADETEN (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXGEARBOXSLIP (posedge RXUSRCLK2) (0.095::0.101))
(SETUP RXGEARBOXSLIP (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.100::0.106))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.095::0.101))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXMCOMMAALIGNEN (posedge RXUSRCLK2) (0.129::0.137))
(SETUP RXMCOMMAALIGNEN (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.132::0.140))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXPOLARITY (posedge RXUSRCLK2) (0.116::0.123))
(SETUP RXPOLARITY (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXPRBSCNTRESET (posedge RXUSRCLK2) (0.132::0.140))
(SETUP RXPRBSCNTRESET (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.133::0.141))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.116::0.123))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.132::0.140))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXSLIDE (posedge RXUSRCLK2) (0.133::0.141))
(SETUP RXSLIDE (posedge RXUSRCLK2) (0.680::0.722))
(HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
(SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
(HOLD SCANMODEB (posedge SCANCLK) (0.723::0.832))
(SETUP SCANMODEB (posedge SCANCLK) (1.220::1.404))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.209::0.246))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.163))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
(HOLD SETERRSTATUS (posedge RXUSRCLK2) (0.209::0.246))
(SETUP SETERRSTATUS (posedge RXUSRCLK2) (0.680::0.722))
(HOLD TX8B10BEN (posedge TXUSRCLK2) (0.153::0.163))
(SETUP TX8B10BEN (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXCOMINIT (posedge TXUSRCLK2) (0.172::0.183))
(SETUP TXCOMINIT (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXCOMSAS (posedge TXUSRCLK2) (0.136::0.144))
(SETUP TXCOMSAS (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXDETECTRX (posedge TXUSRCLK2) (0.169::0.179))
(SETUP TXDETECTRX (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.169::0.179))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXDLYHOLD (posedge TXPHDLYTSTCLK) (0.387::0.617))
(SETUP TXDLYHOLD (posedge TXPHDLYTSTCLK) (0.581::0.925))
(HOLD TXDLYUPDOWN (posedge TXPHDLYTSTCLK) (0.387::0.617))
(SETUP TXDLYUPDOWN (posedge TXPHDLYTSTCLK) (0.581::0.925))
(HOLD TXPHDLYTSTCLK (posedge TXPHDLYTSTCLK) (0.387::0.617))
(SETUP TXPHDLYTSTCLK (posedge TXPHDLYTSTCLK) (0.581::0.925))
(HOLD TXELECIDLE (posedge TXUSRCLK2) (0.072::0.076))
(SETUP TXELECIDLE (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXINHIBIT (posedge TXUSRCLK2) (0.153::0.162))
(SETUP TXINHIBIT (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.143::0.152))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXPRBSFORCEERR (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXPRBSFORCEERR (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXSTARTSEQ (posedge TXUSRCLK2) (0.148::0.157))
(SETUP TXSTARTSEQ (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_16")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPD")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.209::0.246))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPVAL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.148::0.157))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
@ -297,7 +105,31 @@
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_16")
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_DRPDI")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD DRPCLK (posedge DRPCLK) (0.154::0.164))
(SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_16")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_20")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_32")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
@ -312,6 +144,142 @@
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_32")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_40")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_16")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.159::0.183))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_20")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.159::0.183))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDLEVEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.113::0.120))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXPRBSSEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.121::0.128))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXRATE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.119::0.126))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_SCANIN")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
(SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TX8B10BBYPASS")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.161::0.171))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPMODE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.170::0.181))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPVAL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARISK")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXDATA")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.173::0.184))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXHEADER")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPD")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.209::0.246))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXPIPPMEN (posedge TXUSRCLK) (0.121::0.129))
(SETUP TXPIPPMEN (posedge TXUSRCLK) (0.444::0.472))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK2")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXPIPPMEN (posedge TXUSRCLK2) (0.121::0.129))
(SETUP TXPIPPMEN (posedge TXUSRCLK2) (0.444::0.472))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK")
(INSTANCE GTPE2_CHANNEL)
@ -320,4 +288,36 @@
(SETUP TXUSRCLK (posedge TXUSRCLK) (0.466::0.495))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK2")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.158::0.168))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.466::0.495))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPRBSSEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXRATE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.162))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXSEQUENCE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
)

View File

@ -3,174 +3,6 @@
(SDFVERSION "3.0")
(TIMESCALE 1ps)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_32")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXRATE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.119::0.126))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TX8B10BBYPASS")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.161::0.171))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPRBSSEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXSEQUENCE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDLEVEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.113::0.120))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_20")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPMODE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.170::0.181))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK2")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.121::0.129))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.444::0.472))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_40")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXDATA")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.173::0.184))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK2")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.158::0.168))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.466::0.495))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_32")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXRATE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.162))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARISK")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXPRBSSEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.121::0.128))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_20")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK (posedge TXUSRCLK) (0.121::0.129))
(SETUP TXUSRCLK (posedge TXUSRCLK) (0.444::0.472))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_SCANIN")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
(SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_DRPDI")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD DRPCLK (posedge DRPCLK) (0.154::0.164))
(SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXHEADER")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL")
(INSTANCE GTPE2_CHANNEL)
@ -212,79 +44,55 @@
(SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.105::0.111))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXCHBONDEN (posedge RXUSRCLK2) (0.107::0.114))
(SETUP RXCHBONDEN (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.097::0.103))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.107::0.114))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXCHBONDMASTER (posedge RXUSRCLK2) (0.097::0.103))
(SETUP RXCHBONDMASTER (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.098::0.104))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXCOMMADETEN (posedge RXUSRCLK2) (0.100::0.106))
(SETUP RXCOMMADETEN (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXGEARBOXSLIP (posedge RXUSRCLK2) (0.095::0.101))
(SETUP RXGEARBOXSLIP (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.100::0.106))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.095::0.101))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXMCOMMAALIGNEN (posedge RXUSRCLK2) (0.129::0.137))
(SETUP RXMCOMMAALIGNEN (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.132::0.140))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXPOLARITY (posedge RXUSRCLK2) (0.116::0.123))
(SETUP RXPOLARITY (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXPRBSCNTRESET (posedge RXUSRCLK2) (0.132::0.140))
(SETUP RXPRBSCNTRESET (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.133::0.141))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.116::0.123))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.132::0.140))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD RXSLIDE (posedge RXUSRCLK2) (0.133::0.141))
(SETUP RXSLIDE (posedge RXUSRCLK2) (0.680::0.722))
(HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
(SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
(HOLD SCANMODEB (posedge SCANCLK) (0.723::0.832))
(SETUP SCANMODEB (posedge SCANCLK) (1.220::1.404))
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.209::0.246))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.163))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
(HOLD SETERRSTATUS (posedge RXUSRCLK2) (0.209::0.246))
(SETUP SETERRSTATUS (posedge RXUSRCLK2) (0.680::0.722))
(HOLD TX8B10BEN (posedge TXUSRCLK2) (0.153::0.163))
(SETUP TX8B10BEN (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXCOMINIT (posedge TXUSRCLK2) (0.172::0.183))
(SETUP TXCOMINIT (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXCOMSAS (posedge TXUSRCLK2) (0.136::0.144))
(SETUP TXCOMSAS (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXDETECTRX (posedge TXUSRCLK2) (0.169::0.179))
(SETUP TXDETECTRX (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.169::0.179))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXDLYHOLD (posedge TXPHDLYTSTCLK) (0.387::0.617))
(SETUP TXDLYHOLD (posedge TXPHDLYTSTCLK) (0.581::0.925))
(HOLD TXDLYUPDOWN (posedge TXPHDLYTSTCLK) (0.387::0.617))
(SETUP TXDLYUPDOWN (posedge TXPHDLYTSTCLK) (0.581::0.925))
(HOLD TXPHDLYTSTCLK (posedge TXPHDLYTSTCLK) (0.387::0.617))
(SETUP TXPHDLYTSTCLK (posedge TXPHDLYTSTCLK) (0.581::0.925))
(HOLD TXELECIDLE (posedge TXUSRCLK2) (0.072::0.076))
(SETUP TXELECIDLE (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXINHIBIT (posedge TXUSRCLK2) (0.153::0.162))
(SETUP TXINHIBIT (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.143::0.152))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXPRBSFORCEERR (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXPRBSFORCEERR (posedge TXUSRCLK2) (0.680::0.722))
(HOLD TXSTARTSEQ (posedge TXUSRCLK2) (0.148::0.157))
(SETUP TXSTARTSEQ (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_16")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPD")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.209::0.246))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPVAL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.148::0.157))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
@ -297,7 +105,31 @@
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_16")
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_DRPDI")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD DRPCLK (posedge DRPCLK) (0.154::0.164))
(SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_16")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_20")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_FALSE_RX_DATA_WIDTH_32")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
@ -312,6 +144,142 @@
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_32")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_RXUSRCLK_TRUE_RX_DATA_WIDTH_40")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK (posedge RXUSRCLK) (0.159::0.183))
(SETUP RXUSRCLK (posedge RXUSRCLK) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_16")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.159::0.183))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDI_GEN_TRUE_RX_DATA_WIDTH_20")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.159::0.183))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.328::0.378))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXCHBONDLEVEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.113::0.120))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXPRBSSEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.121::0.128))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_RXRATE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD RXUSRCLK2 (posedge RXUSRCLK2) (0.119::0.126))
(SETUP RXUSRCLK2 (posedge RXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_SCANIN")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD SCANCLK (posedge SCANCLK) (0.723::0.832))
(SETUP SCANCLK (posedge SCANCLK) (1.220::1.404))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TX8B10BBYPASS")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.161::0.171))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPMODE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.170::0.181))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARDISPVAL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXCHARISK")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXDATA")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.173::0.184))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXHEADER")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.167::0.177))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPD")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.209::0.246))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXPIPPMEN (posedge TXUSRCLK) (0.121::0.129))
(SETUP TXPIPPMEN (posedge TXUSRCLK) (0.444::0.472))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPI_PPMCLK_SEL_TXUSRCLK2")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXPIPPMEN (posedge TXUSRCLK2) (0.121::0.129))
(SETUP TXPIPPMEN (posedge TXUSRCLK2) (0.444::0.472))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK")
(INSTANCE GTPE2_CHANNEL)
@ -320,4 +288,36 @@
(SETUP TXUSRCLK (posedge TXUSRCLK) (0.466::0.495))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPIPPMSTEPSIZE_TXPI_PPMCLK_SEL_TXUSRCLK2")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.158::0.168))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.466::0.495))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXPRBSSEL")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.160::0.170))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXRATE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.153::0.162))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
(CELL
(CELLTYPE "GTPE2_CHANNEL_GTPE2_CHANNELGTPE2_CHANNEL_TXSEQUENCE")
(INSTANCE GTPE2_CHANNEL)
(TIMINGCHECK
(HOLD TXUSRCLK2 (posedge TXUSRCLK2) (0.172::0.183))
(SETUP TXUSRCLK2 (posedge TXUSRCLK2) (0.680::0.722))
)
)
)

View File

@ -3,18 +3,6 @@
(SDFVERSION "3.0")
(TIMESCALE 1ps)
(CELL
(CELLTYPE "IBUFDS_GTE2_IBUFDS_GTE2IBUFDS_GTE2")
(INSTANCE IBUFDS_GTE2)
(DELAY
(ABSOLUTE
(IOPATH I O (0.441::0.732)(1.616::2.684))
(IOPATH I ODIV2 (0.441::0.732)(1.616::2.684))
(IOPATH IB O (0.441::0.732)(1.616::2.684))
(IOPATH IB ODIV2 (0.441::0.732)(1.616::2.684))
)
)
)
(CELL
(CELLTYPE "GTPE2_COMMON_GTPE2_COMMONGTPE2_COMMON")
(INSTANCE GTPE2_COMMON)
@ -43,6 +31,14 @@
(SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
)
)
(CELL
(CELLTYPE "GTPE2_COMMON_GTPE2_COMMONGTPE2_COMMON_DRPADDR")
(INSTANCE GTPE2_COMMON)
(TIMINGCHECK
(HOLD DRPCLK (posedge DRPCLK) (0.172::0.183))
(SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
)
)
(CELL
(CELLTYPE "GTPE2_COMMON_GTPE2_COMMONGTPE2_COMMON_DRPDI")
(INSTANCE GTPE2_COMMON)
@ -52,11 +48,15 @@
)
)
(CELL
(CELLTYPE "GTPE2_COMMON_GTPE2_COMMONGTPE2_COMMON_DRPADDR")
(INSTANCE GTPE2_COMMON)
(TIMINGCHECK
(HOLD DRPCLK (posedge DRPCLK) (0.172::0.183))
(SETUP DRPCLK (posedge DRPCLK) (0.380::0.403))
(CELLTYPE "IBUFDS_GTE2_IBUFDS_GTE2IBUFDS_GTE2")
(INSTANCE IBUFDS_GTE2)
(DELAY
(ABSOLUTE
(IOPATH I O (0.441::0.732)(1.616::2.684))
(IOPATH I ODIV2 (0.441::0.732)(1.616::2.684))
(IOPATH IB O (0.441::0.732)(1.616::2.684))
(IOPATH IB ODIV2 (0.441::0.732)(1.616::2.684))
)
)
)
)

View File

@ -3,22 +3,6 @@
(SDFVERSION "3.0")
(TIMESCALE 1ps)
(CELL
(CELLTYPE "BUFMRCE_CE_TYPE_SYNC_INIT_OUT_1")
(INSTANCE BUFMRCE)
(TIMINGCHECK
(HOLD I (posedge I) (0.197::0.224))
(SETUP I (posedge I) (0.172::0.195))
)
)
(CELL
(CELLTYPE "BUFMRCE_CE_TYPE_SYNC_INIT_OUT_0")
(INSTANCE BUFMRCE)
(TIMINGCHECK
(HOLD I (posedge I) (0.197::0.224))
(SETUP I (posedge I) (0.172::0.195))
)
)
(CELL
(CELLTYPE "BUFMRCE")
(INSTANCE BUFMRCE)
@ -28,4 +12,20 @@
)
)
)
(CELL
(CELLTYPE "BUFMRCE_CE_TYPE_SYNC_INIT_OUT_0")
(INSTANCE BUFMRCE)
(TIMINGCHECK
(HOLD CE (posedge I) (0.197::0.224))
(SETUP CE (posedge I) (0.172::0.195))
)
)
(CELL
(CELLTYPE "BUFMRCE_CE_TYPE_SYNC_INIT_OUT_1")
(INSTANCE BUFMRCE)
(TIMINGCHECK
(HOLD CE (posedge I) (0.197::0.224))
(SETUP CE (posedge I) (0.172::0.195))
)
)
)

View File

@ -3,22 +3,6 @@
(SDFVERSION "3.0")
(TIMESCALE 1ps)
(CELL
(CELLTYPE "BUFMRCE_CE_TYPE_SYNC_INIT_OUT_1")
(INSTANCE BUFMRCE)
(TIMINGCHECK
(HOLD I (posedge I) (0.197::0.224))
(SETUP I (posedge I) (0.172::0.195))
)
)
(CELL
(CELLTYPE "BUFMRCE_CE_TYPE_SYNC_INIT_OUT_0")
(INSTANCE BUFMRCE)
(TIMINGCHECK
(HOLD I (posedge I) (0.197::0.224))
(SETUP I (posedge I) (0.172::0.195))
)
)
(CELL
(CELLTYPE "BUFMRCE")
(INSTANCE BUFMRCE)
@ -28,4 +12,20 @@
)
)
)
(CELL
(CELLTYPE "BUFMRCE_CE_TYPE_SYNC_INIT_OUT_0")
(INSTANCE BUFMRCE)
(TIMINGCHECK
(HOLD CE (posedge I) (0.197::0.224))
(SETUP CE (posedge I) (0.172::0.195))
)
)
(CELL
(CELLTYPE "BUFMRCE_CE_TYPE_SYNC_INIT_OUT_1")
(INSTANCE BUFMRCE)
(TIMINGCHECK
(HOLD CE (posedge I) (0.197::0.224))
(SETUP CE (posedge I) (0.172::0.195))
)
)
)

View File

@ -3,6 +3,15 @@
(SDFVERSION "3.0")
(TIMESCALE 1ps)
(CELL
(CELLTYPE "BUFIO_DELAY_BYPASS_FALSE")
(INSTANCE BUFIO)
(DELAY
(ABSOLUTE
(IOPATH I O (0.483::0.516)(1.431::1.532))
)
)
)
(CELL
(CELLTYPE "BUFIO_DELAY_BYPASS_TRUE")
(INSTANCE BUFIO)
@ -13,11 +22,12 @@
)
)
(CELL
(CELLTYPE "BUFIO_DELAY_BYPASS_FALSE")
(INSTANCE BUFIO)
(CELLTYPE "BUFR_BUFR_DIVIDE_1")
(INSTANCE BUFR)
(DELAY
(ABSOLUTE
(IOPATH I O (0.483::0.516)(1.431::1.532))
(IOPATH CLR O (0.273::0.314)(0.942::1.084))
(IOPATH I O (0.270::0.431)(0.918::0.982))
)
)
)
@ -31,16 +41,6 @@
)
)
)
(CELL
(CELLTYPE "BUFR_BUFR_DIVIDE_BYPASS")
(INSTANCE BUFR)
(DELAY
(ABSOLUTE
(IOPATH CLR O (0.273::0.314)(0.942::1.084))
(IOPATH I O (0.092::0.254)(0.486::0.511))
)
)
)
(CELL
(CELLTYPE "BUFR_BUFR_DIVIDE_3")
(INSTANCE BUFR)
@ -51,36 +51,6 @@
)
)
)
(CELL
(CELLTYPE "BUFR_BUFR_DIVIDE_6")
(INSTANCE BUFR)
(DELAY
(ABSOLUTE
(IOPATH CLR O (0.273::0.314)(0.942::1.084))
(IOPATH I O (0.270::0.431)(0.918::0.982))
)
)
)
(CELL
(CELLTYPE "BUFR_BUFR_DIVIDE_7")
(INSTANCE BUFR)
(DELAY
(ABSOLUTE
(IOPATH CLR O (0.273::0.314)(0.942::1.084))
(IOPATH I O (0.270::0.431)(0.918::0.982))
)
)
)
(CELL
(CELLTYPE "BUFR_BUFR_DIVIDE_1")
(INSTANCE BUFR)
(DELAY
(ABSOLUTE
(IOPATH CLR O (0.273::0.314)(0.942::1.084))
(IOPATH I O (0.270::0.431)(0.918::0.982))
)
)
)
(CELL
(CELLTYPE "BUFR_BUFR_DIVIDE_4")
(INSTANCE BUFR)
@ -101,6 +71,26 @@
)
)
)
(CELL
(CELLTYPE "BUFR_BUFR_DIVIDE_6")
(INSTANCE BUFR)
(DELAY
(ABSOLUTE
(IOPATH CLR O (0.273::0.314)(0.942::1.084))
(IOPATH I O (0.270::0.431)(0.918::0.982))
)
)
)
(CELL
(CELLTYPE "BUFR_BUFR_DIVIDE_7")
(INSTANCE BUFR)
(DELAY
(ABSOLUTE
(IOPATH CLR O (0.273::0.314)(0.942::1.084))
(IOPATH I O (0.270::0.431)(0.918::0.982))
)
)
)
(CELL
(CELLTYPE "BUFR_BUFR_DIVIDE_8")
(INSTANCE BUFR)
@ -111,6 +101,16 @@
)
)
)
(CELL
(CELLTYPE "BUFR_BUFR_DIVIDE_BYPASS")
(INSTANCE BUFR)
(DELAY
(ABSOLUTE
(IOPATH CLR O (0.273::0.314)(0.942::1.084))
(IOPATH I O (0.092::0.254)(0.486::0.511))
)
)
)
(CELL
(CELLTYPE "IDELAYCTRL")
(INSTANCE IDELAYCTRL)

View File

@ -3,15 +3,6 @@
(SDFVERSION "3.0")
(TIMESCALE 1ps)
(CELL
(CELLTYPE "IOB33S_INBUF_ENIOB33_IOBS_INBUF_EN")
(INSTANCE IOB33S)
(DELAY
(ABSOLUTE
(IOPATH IBUFDISABLE OUT (0.340::0.391)(1.027::1.182))
)
)
)
(CELL
(CELLTYPE "IOB33M_INBUF_ENIOB33_IOBM_INBUF_EN")
(INSTANCE IOB33M)
@ -21,4 +12,13 @@
)
)
)
(CELL
(CELLTYPE "IOB33S_INBUF_ENIOB33_IOBS_INBUF_EN")
(INSTANCE IOB33S)
(DELAY
(ABSOLUTE
(IOPATH IBUFDISABLE OUT (0.340::0.391)(1.027::1.182))
)
)
)
)

View File

@ -4,205 +4,11 @@
(TIMESCALE 1ps)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
(INSTANCE OLOGICE3)
(CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.059::-0.051))
(SETUP CK (posedge CK) (0.380::0.504))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.142::-0.124))
(RECOVERY CK (posedge CK) (0.261::0.300))
(REMOVAL CK (posedge CK) (0.000::0.000))
(SETUP CK (posedge CK) (0.651::0.798))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.697::0.873))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH D1 Q (0.513::0.590)(0.989::1.138))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.352::0.405))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH D1 Q (0.488::0.561)(0.904::1.040))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.351::0.404))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.449::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.707::0.885))
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.318::-0.277))
(RECOVERY CK (posedge CK) (0.249::0.286))
(REMOVAL CK (posedge CK) (0.000::0.000))
(SETUP CK (posedge CK) (0.471::0.591))
(HOLD C (posedge C) (0.128::0.138))
(SETUP C (posedge C) (0.094::0.102))
)
)
(CELL
@ -213,42 +19,6 @@
(SETUP C (posedge C) (-0.081::-0.075))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.143::0.155))
(SETUP C (posedge C) (0.187::0.203))
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.131::0.141))
(SETUP C (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.108::0.116))
(SETUP C (posedge C) (0.031::0.033))
(HOLD REGRST (posedge C) (0.122::0.132))
(SETUP REGRST (posedge C) (0.158::0.172))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.143::0.155))
(SETUP C (posedge C) (0.187::0.203))
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.131::0.141))
(SETUP C (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "IDELAYE2_DELAY_SRC_DATAIN")
(INSTANCE IDELAYE2)
@ -268,41 +38,61 @@
)
)
(CELL
(CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.128::0.138))
(SETUP C (posedge C) (0.094::0.102))
(HOLD CE (posedge C) (0.143::0.155))
(SETUP CE (posedge C) (0.187::0.203))
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LD (posedge C) (0.131::0.141))
(SETUP LD (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LDPIPEEN (posedge C) (0.108::0.116))
(SETUP LDPIPEEN (posedge C) (0.031::0.033))
(HOLD REGRST (posedge C) (0.122::0.132))
(SETUP REGRST (posedge C) (0.158::0.172))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_RECOV")
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD CE (posedge C) (0.143::0.155))
(SETUP CE (posedge C) (0.187::0.203))
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LD (posedge C) (0.131::0.141))
(SETUP LD (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(SETUP CK (posedge CK) (0.518::0.596))
(HOLD CE (posedge CK) (-0.076::-0.066))
(SETUP CE (posedge CK) (0.430::0.726))
(HOLD SR (posedge CK) (-0.077::-0.066))
(SETUP SR (posedge CK) (0.764::0.922))
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(CELLTYPE "ILOGICE3_IFF_DDR")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
(IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
(IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
)
(TIMINGCHECK
(HOLD CKB (posedge CKB) (-0.076::-0.066))
(SETUP CKB (posedge CKB) (0.430::0.726))
(HOLD D (posedge CK) (0.022::0.026))
(SETUP D (posedge CK) (0.091::0.105))
(HOLD CKB (posedge CKB) (0.022::0.026))
(SETUP CKB (posedge CKB) (0.091::0.105))
)
)
(CELL
@ -320,44 +110,10 @@
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_DDR")
(CELLTYPE "ILOGICE3_IFF_HOLD")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CKB (posedge CKB) (-0.076::-0.066))
(SETUP CKB (posedge CKB) (0.430::0.726))
(HOLD D (posedge CK) (0.022::0.026))
(SETUP D (posedge CK) (0.091::0.105))
(HOLD CKB (posedge CKB) (0.022::0.026))
(SETUP CKB (posedge CKB) (0.091::0.105))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_REMOV")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.409::-0.357))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.076::-0.066))
(SETUP CK (posedge CK) (0.430::0.726))
(HOLD CK (posedge CK) (-0.077::-0.066))
(SETUP CK (posedge CK) (0.764::0.922))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_PIPELINED")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
(REMOVAL SR (posedge CK) (-0.409::-0.357))
)
)
(CELL
@ -387,4 +143,248 @@
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_PIPELINED")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SETUP")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(RECOVERY SR (posedge CK) (0.518::0.596))
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
(IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
(IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD SR (posedge CK) (-0.142::-0.124))
(RECOVERY SR (posedge CK) (0.261::0.300))
(REMOVAL SR (posedge CK) (0.000::0.000))
(SETUP SR (posedge CK) (0.651::0.798))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.449::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH D1 Q (0.488::0.561)(0.904::1.040))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.351::0.404))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.059::-0.051))
(SETUP CK (posedge CK) (0.380::0.504))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD SR (posedge CK) (-0.318::-0.277))
(RECOVERY SR (posedge CK) (0.249::0.286))
(REMOVAL SR (posedge CK) (0.000::0.000))
(SETUP SR (posedge CK) (0.471::0.591))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.707::0.885))
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.697::0.873))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH D1 Q (0.513::0.590)(0.989::1.138))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.352::0.405))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
)

View File

@ -4,205 +4,11 @@
(TIMESCALE 1ps)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
(INSTANCE OLOGICE3)
(CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.059::-0.051))
(SETUP CK (posedge CK) (0.380::0.504))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.142::-0.124))
(RECOVERY CK (posedge CK) (0.261::0.300))
(REMOVAL CK (posedge CK) (0.000::0.000))
(SETUP CK (posedge CK) (0.651::0.798))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.697::0.873))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH D1 Q (0.513::0.590)(0.989::1.138))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.352::0.405))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH D1 Q (0.488::0.561)(0.904::1.040))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.351::0.404))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.449::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.707::0.885))
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.318::-0.277))
(RECOVERY CK (posedge CK) (0.249::0.286))
(REMOVAL CK (posedge CK) (0.000::0.000))
(SETUP CK (posedge CK) (0.471::0.591))
(HOLD C (posedge C) (0.128::0.138))
(SETUP C (posedge C) (0.094::0.102))
)
)
(CELL
@ -213,42 +19,6 @@
(SETUP C (posedge C) (-0.081::-0.075))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.143::0.155))
(SETUP C (posedge C) (0.187::0.203))
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.131::0.141))
(SETUP C (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.108::0.116))
(SETUP C (posedge C) (0.031::0.033))
(HOLD REGRST (posedge C) (0.122::0.132))
(SETUP REGRST (posedge C) (0.158::0.172))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.143::0.155))
(SETUP C (posedge C) (0.187::0.203))
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.131::0.141))
(SETUP C (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "IDELAYE2_DELAY_SRC_DATAIN")
(INSTANCE IDELAYE2)
@ -268,41 +38,61 @@
)
)
(CELL
(CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.128::0.138))
(SETUP C (posedge C) (0.094::0.102))
(HOLD CE (posedge C) (0.143::0.155))
(SETUP CE (posedge C) (0.187::0.203))
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LD (posedge C) (0.131::0.141))
(SETUP LD (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LDPIPEEN (posedge C) (0.108::0.116))
(SETUP LDPIPEEN (posedge C) (0.031::0.033))
(HOLD REGRST (posedge C) (0.122::0.132))
(SETUP REGRST (posedge C) (0.158::0.172))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_RECOV")
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD CE (posedge C) (0.143::0.155))
(SETUP CE (posedge C) (0.187::0.203))
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LD (posedge C) (0.131::0.141))
(SETUP LD (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(SETUP CK (posedge CK) (0.518::0.596))
(HOLD CE (posedge CK) (-0.076::-0.066))
(SETUP CE (posedge CK) (0.430::0.726))
(HOLD SR (posedge CK) (-0.077::-0.066))
(SETUP SR (posedge CK) (0.764::0.922))
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(CELLTYPE "ILOGICE3_IFF_DDR")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
(IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
(IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
)
(TIMINGCHECK
(HOLD CKB (posedge CKB) (-0.076::-0.066))
(SETUP CKB (posedge CKB) (0.430::0.726))
(HOLD D (posedge CK) (0.022::0.026))
(SETUP D (posedge CK) (0.091::0.105))
(HOLD CKB (posedge CKB) (0.022::0.026))
(SETUP CKB (posedge CKB) (0.091::0.105))
)
)
(CELL
@ -320,44 +110,10 @@
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_DDR")
(CELLTYPE "ILOGICE3_IFF_HOLD")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CKB (posedge CKB) (-0.076::-0.066))
(SETUP CKB (posedge CKB) (0.430::0.726))
(HOLD D (posedge CK) (0.022::0.026))
(SETUP D (posedge CK) (0.091::0.105))
(HOLD CKB (posedge CKB) (0.022::0.026))
(SETUP CKB (posedge CKB) (0.091::0.105))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_REMOV")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.409::-0.357))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.076::-0.066))
(SETUP CK (posedge CK) (0.430::0.726))
(HOLD CK (posedge CK) (-0.077::-0.066))
(SETUP CK (posedge CK) (0.764::0.922))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_PIPELINED")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
(REMOVAL SR (posedge CK) (-0.409::-0.357))
)
)
(CELL
@ -387,4 +143,248 @@
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_PIPELINED")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SETUP")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(RECOVERY SR (posedge CK) (0.518::0.596))
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
(IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
(IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD SR (posedge CK) (-0.142::-0.124))
(RECOVERY SR (posedge CK) (0.261::0.300))
(REMOVAL SR (posedge CK) (0.000::0.000))
(SETUP SR (posedge CK) (0.651::0.798))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.449::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH D1 Q (0.488::0.561)(0.904::1.040))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.351::0.404))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.059::-0.051))
(SETUP CK (posedge CK) (0.380::0.504))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD SR (posedge CK) (-0.318::-0.277))
(RECOVERY SR (posedge CK) (0.249::0.286))
(REMOVAL SR (posedge CK) (0.000::0.000))
(SETUP SR (posedge CK) (0.471::0.591))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.707::0.885))
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.697::0.873))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH D1 Q (0.513::0.590)(0.989::1.138))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.352::0.405))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
)

View File

@ -4,205 +4,11 @@
(TIMESCALE 1ps)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
(INSTANCE OLOGICE3)
(CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.059::-0.051))
(SETUP CK (posedge CK) (0.380::0.504))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.142::-0.124))
(RECOVERY CK (posedge CK) (0.261::0.300))
(REMOVAL CK (posedge CK) (0.000::0.000))
(SETUP CK (posedge CK) (0.651::0.798))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.697::0.873))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH D1 Q (0.513::0.590)(0.989::1.138))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.352::0.405))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH D1 Q (0.488::0.561)(0.904::1.040))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.351::0.404))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.449::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.707::0.885))
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.318::-0.277))
(RECOVERY CK (posedge CK) (0.249::0.286))
(REMOVAL CK (posedge CK) (0.000::0.000))
(SETUP CK (posedge CK) (0.471::0.591))
(HOLD C (posedge C) (0.128::0.138))
(SETUP C (posedge C) (0.094::0.102))
)
)
(CELL
@ -213,42 +19,6 @@
(SETUP C (posedge C) (-0.081::-0.075))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.143::0.155))
(SETUP C (posedge C) (0.187::0.203))
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.131::0.141))
(SETUP C (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.108::0.116))
(SETUP C (posedge C) (0.031::0.033))
(HOLD REGRST (posedge C) (0.122::0.132))
(SETUP REGRST (posedge C) (0.158::0.172))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.143::0.155))
(SETUP C (posedge C) (0.187::0.203))
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.131::0.141))
(SETUP C (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "IDELAYE2_DELAY_SRC_DATAIN")
(INSTANCE IDELAYE2)
@ -268,41 +38,61 @@
)
)
(CELL
(CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.128::0.138))
(SETUP C (posedge C) (0.094::0.102))
(HOLD CE (posedge C) (0.143::0.155))
(SETUP CE (posedge C) (0.187::0.203))
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LD (posedge C) (0.131::0.141))
(SETUP LD (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LDPIPEEN (posedge C) (0.108::0.116))
(SETUP LDPIPEEN (posedge C) (0.031::0.033))
(HOLD REGRST (posedge C) (0.122::0.132))
(SETUP REGRST (posedge C) (0.158::0.172))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_RECOV")
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD CE (posedge C) (0.143::0.155))
(SETUP CE (posedge C) (0.187::0.203))
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LD (posedge C) (0.131::0.141))
(SETUP LD (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(SETUP CK (posedge CK) (0.518::0.596))
(HOLD CE (posedge CK) (-0.076::-0.066))
(SETUP CE (posedge CK) (0.430::0.726))
(HOLD SR (posedge CK) (-0.077::-0.066))
(SETUP SR (posedge CK) (0.764::0.922))
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(CELLTYPE "ILOGICE3_IFF_DDR")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
(IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
(IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
)
(TIMINGCHECK
(HOLD CKB (posedge CKB) (-0.076::-0.066))
(SETUP CKB (posedge CKB) (0.430::0.726))
(HOLD D (posedge CK) (0.022::0.026))
(SETUP D (posedge CK) (0.091::0.105))
(HOLD CKB (posedge CKB) (0.022::0.026))
(SETUP CKB (posedge CKB) (0.091::0.105))
)
)
(CELL
@ -320,44 +110,10 @@
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_DDR")
(CELLTYPE "ILOGICE3_IFF_HOLD")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CKB (posedge CKB) (-0.076::-0.066))
(SETUP CKB (posedge CKB) (0.430::0.726))
(HOLD D (posedge CK) (0.022::0.026))
(SETUP D (posedge CK) (0.091::0.105))
(HOLD CKB (posedge CKB) (0.022::0.026))
(SETUP CKB (posedge CKB) (0.091::0.105))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_REMOV")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.409::-0.357))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.076::-0.066))
(SETUP CK (posedge CK) (0.430::0.726))
(HOLD CK (posedge CK) (-0.077::-0.066))
(SETUP CK (posedge CK) (0.764::0.922))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_PIPELINED")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
(REMOVAL SR (posedge CK) (-0.409::-0.357))
)
)
(CELL
@ -387,4 +143,248 @@
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_PIPELINED")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SETUP")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(RECOVERY SR (posedge CK) (0.518::0.596))
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
(IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
(IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD SR (posedge CK) (-0.142::-0.124))
(RECOVERY SR (posedge CK) (0.261::0.300))
(REMOVAL SR (posedge CK) (0.000::0.000))
(SETUP SR (posedge CK) (0.651::0.798))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.449::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH D1 Q (0.488::0.561)(0.904::1.040))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.351::0.404))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.059::-0.051))
(SETUP CK (posedge CK) (0.380::0.504))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD SR (posedge CK) (-0.318::-0.277))
(RECOVERY SR (posedge CK) (0.249::0.286))
(REMOVAL SR (posedge CK) (0.000::0.000))
(SETUP SR (posedge CK) (0.471::0.591))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.707::0.885))
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.697::0.873))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH D1 Q (0.513::0.590)(0.989::1.138))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.352::0.405))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
)

View File

@ -4,205 +4,11 @@
(TIMESCALE 1ps)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
(INSTANCE OLOGICE3)
(CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.059::-0.051))
(SETUP CK (posedge CK) (0.380::0.504))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.142::-0.124))
(RECOVERY CK (posedge CK) (0.261::0.300))
(REMOVAL CK (posedge CK) (0.000::0.000))
(SETUP CK (posedge CK) (0.651::0.798))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.697::0.873))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH D1 Q (0.513::0.590)(0.989::1.138))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.352::0.405))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH D1 Q (0.488::0.561)(0.904::1.040))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.351::0.404))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.449::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.707::0.885))
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.318::-0.277))
(RECOVERY CK (posedge CK) (0.249::0.286))
(REMOVAL CK (posedge CK) (0.000::0.000))
(SETUP CK (posedge CK) (0.471::0.591))
(HOLD C (posedge C) (0.128::0.138))
(SETUP C (posedge C) (0.094::0.102))
)
)
(CELL
@ -213,42 +19,6 @@
(SETUP C (posedge C) (-0.081::-0.075))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.143::0.155))
(SETUP C (posedge C) (0.187::0.203))
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.131::0.141))
(SETUP C (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.108::0.116))
(SETUP C (posedge C) (0.031::0.033))
(HOLD REGRST (posedge C) (0.122::0.132))
(SETUP REGRST (posedge C) (0.158::0.172))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.143::0.155))
(SETUP C (posedge C) (0.187::0.203))
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.131::0.141))
(SETUP C (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "IDELAYE2_DELAY_SRC_DATAIN")
(INSTANCE IDELAYE2)
@ -268,41 +38,61 @@
)
)
(CELL
(CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.128::0.138))
(SETUP C (posedge C) (0.094::0.102))
(HOLD CE (posedge C) (0.143::0.155))
(SETUP CE (posedge C) (0.187::0.203))
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LD (posedge C) (0.131::0.141))
(SETUP LD (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LDPIPEEN (posedge C) (0.108::0.116))
(SETUP LDPIPEEN (posedge C) (0.031::0.033))
(HOLD REGRST (posedge C) (0.122::0.132))
(SETUP REGRST (posedge C) (0.158::0.172))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_RECOV")
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD CE (posedge C) (0.143::0.155))
(SETUP CE (posedge C) (0.187::0.203))
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LD (posedge C) (0.131::0.141))
(SETUP LD (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(SETUP CK (posedge CK) (0.518::0.596))
(HOLD CE (posedge CK) (-0.076::-0.066))
(SETUP CE (posedge CK) (0.430::0.726))
(HOLD SR (posedge CK) (-0.077::-0.066))
(SETUP SR (posedge CK) (0.764::0.922))
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(CELLTYPE "ILOGICE3_IFF_DDR")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
(IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
(IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
)
(TIMINGCHECK
(HOLD CKB (posedge CKB) (-0.076::-0.066))
(SETUP CKB (posedge CKB) (0.430::0.726))
(HOLD D (posedge CK) (0.022::0.026))
(SETUP D (posedge CK) (0.091::0.105))
(HOLD CKB (posedge CKB) (0.022::0.026))
(SETUP CKB (posedge CKB) (0.091::0.105))
)
)
(CELL
@ -320,44 +110,10 @@
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_DDR")
(CELLTYPE "ILOGICE3_IFF_HOLD")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CKB (posedge CKB) (-0.076::-0.066))
(SETUP CKB (posedge CKB) (0.430::0.726))
(HOLD D (posedge CK) (0.022::0.026))
(SETUP D (posedge CK) (0.091::0.105))
(HOLD CKB (posedge CKB) (0.022::0.026))
(SETUP CKB (posedge CKB) (0.091::0.105))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_REMOV")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.409::-0.357))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.076::-0.066))
(SETUP CK (posedge CK) (0.430::0.726))
(HOLD CK (posedge CK) (-0.077::-0.066))
(SETUP CK (posedge CK) (0.764::0.922))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_PIPELINED")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
(REMOVAL SR (posedge CK) (-0.409::-0.357))
)
)
(CELL
@ -387,4 +143,248 @@
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_PIPELINED")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SETUP")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(RECOVERY SR (posedge CK) (0.518::0.596))
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
(IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
(IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD SR (posedge CK) (-0.142::-0.124))
(RECOVERY SR (posedge CK) (0.261::0.300))
(REMOVAL SR (posedge CK) (0.000::0.000))
(SETUP SR (posedge CK) (0.651::0.798))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.449::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH D1 Q (0.488::0.561)(0.904::1.040))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.351::0.404))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.059::-0.051))
(SETUP CK (posedge CK) (0.380::0.504))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD SR (posedge CK) (-0.318::-0.277))
(RECOVERY SR (posedge CK) (0.249::0.286))
(REMOVAL SR (posedge CK) (0.000::0.000))
(SETUP SR (posedge CK) (0.471::0.591))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.707::0.885))
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.697::0.873))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH D1 Q (0.513::0.590)(0.989::1.138))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.352::0.405))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
)

View File

@ -3,22 +3,6 @@
(SDFVERSION "3.0")
(TIMESCALE 1ps)
(CELL
(CELLTYPE "XADC_DI")
(INSTANCE XADC)
(TIMINGCHECK
(HOLD DCLK (posedge DCLK) (-0.009::0.010))
(SETUP DCLK (posedge DCLK) (0.610::0.648))
)
)
(CELL
(CELLTYPE "XADC_DADDR")
(INSTANCE XADC)
(TIMINGCHECK
(HOLD DCLK (posedge DCLK) (-0.002::0.017))
(SETUP DCLK (posedge DCLK) (0.659::0.699))
)
)
(CELL
(CELLTYPE "XADC")
(INSTANCE XADC)
@ -35,10 +19,26 @@
)
)
(TIMINGCHECK
(HOLD DEN (posedge DCLK) (-0.025::-0.013))
(SETUP DEN (posedge DCLK) (0.800::0.848))
(HOLD DWE (posedge DCLK) (-0.022::-0.005))
(SETUP DWE (posedge DCLK) (0.531::0.565))
(HOLD DCLK (posedge DCLK) (-0.025::-0.013))
(SETUP DCLK (posedge DCLK) (0.800::0.848))
(HOLD DCLK (posedge DCLK) (-0.022::-0.005))
(SETUP DCLK (posedge DCLK) (0.531::0.565))
)
)
(CELL
(CELLTYPE "XADC_DADDR")
(INSTANCE XADC)
(TIMINGCHECK
(HOLD DCLK (posedge DCLK) (-0.002::0.017))
(SETUP DCLK (posedge DCLK) (0.659::0.699))
)
)
(CELL
(CELLTYPE "XADC_DI")
(INSTANCE XADC)
(TIMINGCHECK
(HOLD DCLK (posedge DCLK) (-0.009::0.010))
(SETUP DCLK (posedge DCLK) (0.610::0.648))
)
)
)

File diff suppressed because it is too large Load Diff

View File

@ -3,15 +3,6 @@
(SDFVERSION "3.0")
(TIMESCALE 1ps)
(CELL
(CELLTYPE "IOB33S_INBUF_ENIOB33_IOBS_INBUF_EN")
(INSTANCE IOB33S)
(DELAY
(ABSOLUTE
(IOPATH IBUFDISABLE OUT (0.340::0.391)(1.027::1.182))
)
)
)
(CELL
(CELLTYPE "IOB33M_INBUF_ENIOB33_IOBM_INBUF_EN")
(INSTANCE IOB33M)
@ -21,4 +12,13 @@
)
)
)
(CELL
(CELLTYPE "IOB33S_INBUF_ENIOB33_IOBS_INBUF_EN")
(INSTANCE IOB33S)
(DELAY
(ABSOLUTE
(IOPATH IBUFDISABLE OUT (0.340::0.391)(1.027::1.182))
)
)
)
)

View File

@ -4,205 +4,11 @@
(TIMESCALE 1ps)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
(INSTANCE OLOGICE3)
(CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.059::-0.051))
(SETUP CK (posedge CK) (0.380::0.504))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.142::-0.124))
(RECOVERY CK (posedge CK) (0.261::0.300))
(REMOVAL CK (posedge CK) (0.000::0.000))
(SETUP CK (posedge CK) (0.651::0.798))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.697::0.873))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH D1 Q (0.513::0.590)(0.989::1.138))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.352::0.405))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH D1 Q (0.488::0.561)(0.904::1.040))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.351::0.404))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.449::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.707::0.885))
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.318::-0.277))
(RECOVERY CK (posedge CK) (0.249::0.286))
(REMOVAL CK (posedge CK) (0.000::0.000))
(SETUP CK (posedge CK) (0.471::0.591))
(HOLD C (posedge C) (0.128::0.138))
(SETUP C (posedge C) (0.094::0.102))
)
)
(CELL
@ -213,42 +19,6 @@
(SETUP C (posedge C) (-0.081::-0.075))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.143::0.155))
(SETUP C (posedge C) (0.187::0.203))
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.131::0.141))
(SETUP C (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.108::0.116))
(SETUP C (posedge C) (0.031::0.033))
(HOLD REGRST (posedge C) (0.122::0.132))
(SETUP REGRST (posedge C) (0.158::0.172))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.143::0.155))
(SETUP C (posedge C) (0.187::0.203))
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.131::0.141))
(SETUP C (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "IDELAYE2_DELAY_SRC_DATAIN")
(INSTANCE IDELAYE2)
@ -268,41 +38,61 @@
)
)
(CELL
(CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.128::0.138))
(SETUP C (posedge C) (0.094::0.102))
(HOLD CE (posedge C) (0.143::0.155))
(SETUP CE (posedge C) (0.187::0.203))
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LD (posedge C) (0.131::0.141))
(SETUP LD (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LDPIPEEN (posedge C) (0.108::0.116))
(SETUP LDPIPEEN (posedge C) (0.031::0.033))
(HOLD REGRST (posedge C) (0.122::0.132))
(SETUP REGRST (posedge C) (0.158::0.172))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_RECOV")
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD CE (posedge C) (0.143::0.155))
(SETUP CE (posedge C) (0.187::0.203))
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LD (posedge C) (0.131::0.141))
(SETUP LD (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(SETUP CK (posedge CK) (0.518::0.596))
(HOLD CE (posedge CK) (-0.076::-0.066))
(SETUP CE (posedge CK) (0.430::0.726))
(HOLD SR (posedge CK) (-0.077::-0.066))
(SETUP SR (posedge CK) (0.764::0.922))
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(CELLTYPE "ILOGICE3_IFF_DDR")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
(IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
(IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
)
(TIMINGCHECK
(HOLD CKB (posedge CKB) (-0.076::-0.066))
(SETUP CKB (posedge CKB) (0.430::0.726))
(HOLD D (posedge CK) (0.022::0.026))
(SETUP D (posedge CK) (0.091::0.105))
(HOLD CKB (posedge CKB) (0.022::0.026))
(SETUP CKB (posedge CKB) (0.091::0.105))
)
)
(CELL
@ -320,44 +110,10 @@
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_DDR")
(CELLTYPE "ILOGICE3_IFF_HOLD")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CKB (posedge CKB) (-0.076::-0.066))
(SETUP CKB (posedge CKB) (0.430::0.726))
(HOLD D (posedge CK) (0.022::0.026))
(SETUP D (posedge CK) (0.091::0.105))
(HOLD CKB (posedge CKB) (0.022::0.026))
(SETUP CKB (posedge CKB) (0.091::0.105))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_REMOV")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.409::-0.357))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.076::-0.066))
(SETUP CK (posedge CK) (0.430::0.726))
(HOLD CK (posedge CK) (-0.077::-0.066))
(SETUP CK (posedge CK) (0.764::0.922))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_PIPELINED")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
(REMOVAL SR (posedge CK) (-0.409::-0.357))
)
)
(CELL
@ -387,4 +143,248 @@
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_PIPELINED")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SETUP")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(RECOVERY SR (posedge CK) (0.518::0.596))
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
(IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
(IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD SR (posedge CK) (-0.142::-0.124))
(RECOVERY SR (posedge CK) (0.261::0.300))
(REMOVAL SR (posedge CK) (0.000::0.000))
(SETUP SR (posedge CK) (0.651::0.798))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.449::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH D1 Q (0.488::0.561)(0.904::1.040))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.351::0.404))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.059::-0.051))
(SETUP CK (posedge CK) (0.380::0.504))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD SR (posedge CK) (-0.318::-0.277))
(RECOVERY SR (posedge CK) (0.249::0.286))
(REMOVAL SR (posedge CK) (0.000::0.000))
(SETUP SR (posedge CK) (0.471::0.591))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.707::0.885))
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.697::0.873))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH D1 Q (0.513::0.590)(0.989::1.138))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.352::0.405))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
)

View File

@ -4,205 +4,11 @@
(TIMESCALE 1ps)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
(INSTANCE OLOGICE3)
(CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.059::-0.051))
(SETUP CK (posedge CK) (0.380::0.504))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.142::-0.124))
(RECOVERY CK (posedge CK) (0.261::0.300))
(REMOVAL CK (posedge CK) (0.000::0.000))
(SETUP CK (posedge CK) (0.651::0.798))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.697::0.873))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH D1 Q (0.513::0.590)(0.989::1.138))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.352::0.405))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH D1 Q (0.488::0.561)(0.904::1.040))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.351::0.404))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.449::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.707::0.885))
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.318::-0.277))
(RECOVERY CK (posedge CK) (0.249::0.286))
(REMOVAL CK (posedge CK) (0.000::0.000))
(SETUP CK (posedge CK) (0.471::0.591))
(HOLD C (posedge C) (0.128::0.138))
(SETUP C (posedge C) (0.094::0.102))
)
)
(CELL
@ -213,42 +19,6 @@
(SETUP C (posedge C) (-0.081::-0.075))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.143::0.155))
(SETUP C (posedge C) (0.187::0.203))
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.131::0.141))
(SETUP C (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.108::0.116))
(SETUP C (posedge C) (0.031::0.033))
(HOLD REGRST (posedge C) (0.122::0.132))
(SETUP REGRST (posedge C) (0.158::0.172))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.143::0.155))
(SETUP C (posedge C) (0.187::0.203))
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.131::0.141))
(SETUP C (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "IDELAYE2_DELAY_SRC_DATAIN")
(INSTANCE IDELAYE2)
@ -268,41 +38,61 @@
)
)
(CELL
(CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.128::0.138))
(SETUP C (posedge C) (0.094::0.102))
(HOLD CE (posedge C) (0.143::0.155))
(SETUP CE (posedge C) (0.187::0.203))
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LD (posedge C) (0.131::0.141))
(SETUP LD (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LDPIPEEN (posedge C) (0.108::0.116))
(SETUP LDPIPEEN (posedge C) (0.031::0.033))
(HOLD REGRST (posedge C) (0.122::0.132))
(SETUP REGRST (posedge C) (0.158::0.172))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_RECOV")
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD CE (posedge C) (0.143::0.155))
(SETUP CE (posedge C) (0.187::0.203))
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LD (posedge C) (0.131::0.141))
(SETUP LD (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(SETUP CK (posedge CK) (0.518::0.596))
(HOLD CE (posedge CK) (-0.076::-0.066))
(SETUP CE (posedge CK) (0.430::0.726))
(HOLD SR (posedge CK) (-0.077::-0.066))
(SETUP SR (posedge CK) (0.764::0.922))
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(CELLTYPE "ILOGICE3_IFF_DDR")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
(IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
(IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
)
(TIMINGCHECK
(HOLD CKB (posedge CKB) (-0.076::-0.066))
(SETUP CKB (posedge CKB) (0.430::0.726))
(HOLD D (posedge CK) (0.022::0.026))
(SETUP D (posedge CK) (0.091::0.105))
(HOLD CKB (posedge CKB) (0.022::0.026))
(SETUP CKB (posedge CKB) (0.091::0.105))
)
)
(CELL
@ -320,44 +110,10 @@
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_DDR")
(CELLTYPE "ILOGICE3_IFF_HOLD")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CKB (posedge CKB) (-0.076::-0.066))
(SETUP CKB (posedge CKB) (0.430::0.726))
(HOLD D (posedge CK) (0.022::0.026))
(SETUP D (posedge CK) (0.091::0.105))
(HOLD CKB (posedge CKB) (0.022::0.026))
(SETUP CKB (posedge CKB) (0.091::0.105))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_REMOV")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.409::-0.357))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.076::-0.066))
(SETUP CK (posedge CK) (0.430::0.726))
(HOLD CK (posedge CK) (-0.077::-0.066))
(SETUP CK (posedge CK) (0.764::0.922))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_PIPELINED")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
(REMOVAL SR (posedge CK) (-0.409::-0.357))
)
)
(CELL
@ -387,4 +143,248 @@
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_PIPELINED")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SETUP")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(RECOVERY SR (posedge CK) (0.518::0.596))
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
(IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
(IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD SR (posedge CK) (-0.142::-0.124))
(RECOVERY SR (posedge CK) (0.261::0.300))
(REMOVAL SR (posedge CK) (0.000::0.000))
(SETUP SR (posedge CK) (0.651::0.798))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.449::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH D1 Q (0.488::0.561)(0.904::1.040))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.351::0.404))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.059::-0.051))
(SETUP CK (posedge CK) (0.380::0.504))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD SR (posedge CK) (-0.318::-0.277))
(RECOVERY SR (posedge CK) (0.249::0.286))
(REMOVAL SR (posedge CK) (0.000::0.000))
(SETUP SR (posedge CK) (0.471::0.591))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.707::0.885))
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.697::0.873))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH D1 Q (0.513::0.590)(0.989::1.138))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.352::0.405))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
)

View File

@ -4,205 +4,11 @@
(TIMESCALE 1ps)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
(INSTANCE OLOGICE3)
(CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.059::-0.051))
(SETUP CK (posedge CK) (0.380::0.504))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.142::-0.124))
(RECOVERY CK (posedge CK) (0.261::0.300))
(REMOVAL CK (posedge CK) (0.000::0.000))
(SETUP CK (posedge CK) (0.651::0.798))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.697::0.873))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH D1 Q (0.513::0.590)(0.989::1.138))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.352::0.405))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH D1 Q (0.488::0.561)(0.904::1.040))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.351::0.404))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.449::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.707::0.885))
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.318::-0.277))
(RECOVERY CK (posedge CK) (0.249::0.286))
(REMOVAL CK (posedge CK) (0.000::0.000))
(SETUP CK (posedge CK) (0.471::0.591))
(HOLD C (posedge C) (0.128::0.138))
(SETUP C (posedge C) (0.094::0.102))
)
)
(CELL
@ -213,42 +19,6 @@
(SETUP C (posedge C) (-0.081::-0.075))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.143::0.155))
(SETUP C (posedge C) (0.187::0.203))
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.131::0.141))
(SETUP C (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.108::0.116))
(SETUP C (posedge C) (0.031::0.033))
(HOLD REGRST (posedge C) (0.122::0.132))
(SETUP REGRST (posedge C) (0.158::0.172))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.143::0.155))
(SETUP C (posedge C) (0.187::0.203))
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.131::0.141))
(SETUP C (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "IDELAYE2_DELAY_SRC_DATAIN")
(INSTANCE IDELAYE2)
@ -268,41 +38,61 @@
)
)
(CELL
(CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.128::0.138))
(SETUP C (posedge C) (0.094::0.102))
(HOLD CE (posedge C) (0.143::0.155))
(SETUP CE (posedge C) (0.187::0.203))
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LD (posedge C) (0.131::0.141))
(SETUP LD (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LDPIPEEN (posedge C) (0.108::0.116))
(SETUP LDPIPEEN (posedge C) (0.031::0.033))
(HOLD REGRST (posedge C) (0.122::0.132))
(SETUP REGRST (posedge C) (0.158::0.172))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_RECOV")
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD CE (posedge C) (0.143::0.155))
(SETUP CE (posedge C) (0.187::0.203))
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LD (posedge C) (0.131::0.141))
(SETUP LD (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(SETUP CK (posedge CK) (0.518::0.596))
(HOLD CE (posedge CK) (-0.076::-0.066))
(SETUP CE (posedge CK) (0.430::0.726))
(HOLD SR (posedge CK) (-0.077::-0.066))
(SETUP SR (posedge CK) (0.764::0.922))
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(CELLTYPE "ILOGICE3_IFF_DDR")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
(IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
(IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
)
(TIMINGCHECK
(HOLD CKB (posedge CKB) (-0.076::-0.066))
(SETUP CKB (posedge CKB) (0.430::0.726))
(HOLD D (posedge CK) (0.022::0.026))
(SETUP D (posedge CK) (0.091::0.105))
(HOLD CKB (posedge CKB) (0.022::0.026))
(SETUP CKB (posedge CKB) (0.091::0.105))
)
)
(CELL
@ -320,44 +110,10 @@
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_DDR")
(CELLTYPE "ILOGICE3_IFF_HOLD")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CKB (posedge CKB) (-0.076::-0.066))
(SETUP CKB (posedge CKB) (0.430::0.726))
(HOLD D (posedge CK) (0.022::0.026))
(SETUP D (posedge CK) (0.091::0.105))
(HOLD CKB (posedge CKB) (0.022::0.026))
(SETUP CKB (posedge CKB) (0.091::0.105))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_REMOV")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.409::-0.357))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.076::-0.066))
(SETUP CK (posedge CK) (0.430::0.726))
(HOLD CK (posedge CK) (-0.077::-0.066))
(SETUP CK (posedge CK) (0.764::0.922))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_PIPELINED")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
(REMOVAL SR (posedge CK) (-0.409::-0.357))
)
)
(CELL
@ -387,4 +143,248 @@
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_PIPELINED")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SETUP")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(RECOVERY SR (posedge CK) (0.518::0.596))
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
(IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
(IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD SR (posedge CK) (-0.142::-0.124))
(RECOVERY SR (posedge CK) (0.261::0.300))
(REMOVAL SR (posedge CK) (0.000::0.000))
(SETUP SR (posedge CK) (0.651::0.798))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.449::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH D1 Q (0.488::0.561)(0.904::1.040))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.351::0.404))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.059::-0.051))
(SETUP CK (posedge CK) (0.380::0.504))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD SR (posedge CK) (-0.318::-0.277))
(RECOVERY SR (posedge CK) (0.249::0.286))
(REMOVAL SR (posedge CK) (0.000::0.000))
(SETUP SR (posedge CK) (0.471::0.591))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.707::0.885))
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.697::0.873))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH D1 Q (0.513::0.590)(0.989::1.138))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.352::0.405))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
)

View File

@ -4,205 +4,11 @@
(TIMESCALE 1ps)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
(INSTANCE OLOGICE3)
(CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.059::-0.051))
(SETUP CK (posedge CK) (0.380::0.504))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.142::-0.124))
(RECOVERY CK (posedge CK) (0.261::0.300))
(REMOVAL CK (posedge CK) (0.000::0.000))
(SETUP CK (posedge CK) (0.651::0.798))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.697::0.873))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH D1 Q (0.513::0.590)(0.989::1.138))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.352::0.405))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH D1 Q (0.488::0.561)(0.904::1.040))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.351::0.404))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.449::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.707::0.885))
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.318::-0.277))
(RECOVERY CK (posedge CK) (0.249::0.286))
(REMOVAL CK (posedge CK) (0.000::0.000))
(SETUP CK (posedge CK) (0.471::0.591))
(HOLD C (posedge C) (0.128::0.138))
(SETUP C (posedge C) (0.094::0.102))
)
)
(CELL
@ -213,42 +19,6 @@
(SETUP C (posedge C) (-0.081::-0.075))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.143::0.155))
(SETUP C (posedge C) (0.187::0.203))
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.131::0.141))
(SETUP C (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.108::0.116))
(SETUP C (posedge C) (0.031::0.033))
(HOLD REGRST (posedge C) (0.122::0.132))
(SETUP REGRST (posedge C) (0.158::0.172))
)
)
(CELL
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.143::0.155))
(SETUP C (posedge C) (0.187::0.203))
(HOLD C (posedge C) (0.203::0.219))
(SETUP C (posedge C) (0.140::0.152))
(HOLD C (posedge C) (0.131::0.141))
(SETUP C (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "IDELAYE2_DELAY_SRC_DATAIN")
(INSTANCE IDELAYE2)
@ -268,41 +38,61 @@
)
)
(CELL
(CELLTYPE "IDELAYE2_CNTVALUEIN_IDELAY_TYPE_VAR_LOAD")
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD C (posedge C) (0.128::0.138))
(SETUP C (posedge C) (0.094::0.102))
(HOLD CE (posedge C) (0.143::0.155))
(SETUP CE (posedge C) (0.187::0.203))
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LD (posedge C) (0.131::0.141))
(SETUP LD (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VAR_LOAD_PIPE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LDPIPEEN (posedge C) (0.108::0.116))
(SETUP LDPIPEEN (posedge C) (0.031::0.033))
(HOLD REGRST (posedge C) (0.122::0.132))
(SETUP REGRST (posedge C) (0.158::0.172))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_RECOV")
(CELLTYPE "IDELAYE2_IDELAY_TYPE_VARIABLE")
(INSTANCE IDELAYE2)
(TIMINGCHECK
(HOLD CE (posedge C) (0.143::0.155))
(SETUP CE (posedge C) (0.187::0.203))
(HOLD INC (posedge C) (0.203::0.219))
(SETUP INC (posedge C) (0.140::0.152))
(HOLD LD (posedge C) (0.131::0.141))
(SETUP LD (posedge C) (0.089::0.097))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(SETUP CK (posedge CK) (0.518::0.596))
(HOLD CE (posedge CK) (-0.076::-0.066))
(SETUP CE (posedge CK) (0.430::0.726))
(HOLD SR (posedge CK) (-0.077::-0.066))
(SETUP SR (posedge CK) (0.764::0.922))
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(CELLTYPE "ILOGICE3_IFF_DDR")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
(IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
(IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
)
(TIMINGCHECK
(HOLD CKB (posedge CKB) (-0.076::-0.066))
(SETUP CKB (posedge CKB) (0.430::0.726))
(HOLD D (posedge CK) (0.022::0.026))
(SETUP D (posedge CK) (0.091::0.105))
(HOLD CKB (posedge CKB) (0.022::0.026))
(SETUP CKB (posedge CKB) (0.091::0.105))
)
)
(CELL
@ -320,44 +110,10 @@
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_DDR")
(CELLTYPE "ILOGICE3_IFF_HOLD")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CKB (posedge CKB) (-0.076::-0.066))
(SETUP CKB (posedge CKB) (0.430::0.726))
(HOLD D (posedge CK) (0.022::0.026))
(SETUP D (posedge CK) (0.091::0.105))
(HOLD CKB (posedge CKB) (0.022::0.026))
(SETUP CKB (posedge CKB) (0.091::0.105))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_REMOV")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.409::-0.357))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.076::-0.066))
(SETUP CK (posedge CK) (0.430::0.726))
(HOLD CK (posedge CK) (-0.077::-0.066))
(SETUP CK (posedge CK) (0.764::0.922))
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_PIPELINED")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
(REMOVAL SR (posedge CK) (-0.409::-0.357))
)
)
(CELL
@ -387,4 +143,248 @@
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_PIPELINED")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SAMEEDGE")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CK Q1 (0.060::0.069)(0.153::0.176))
(IOPATH CK Q2 (0.059::0.067)(0.145::0.167))
(IOPATH SR Q1 (0.200::0.230)(0.665::0.765))
(IOPATH SR Q2 (0.200::0.230)(0.665::0.765))
)
)
)
(CELL
(CELLTYPE "ILOGICE3_IFF_SETUP")
(INSTANCE ILOGICE3)
(TIMINGCHECK
(RECOVERY SR (posedge CK) (0.518::0.596))
)
)
(CELL
(CELLTYPE "SELMUX2_1")
(INSTANCE ILOGICE3)
(DELAY
(ABSOLUTE
(IOPATH 0 OUT (0.064::0.080)(0.177::0.219))
(IOPATH 1 OUT (0.066::0.082)(0.181::0.225))
(IOPATH S0 OUT (0.096::0.120)(0.253::0.314))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD SR (posedge CK) (-0.142::-0.124))
(RECOVERY SR (posedge CK) (0.261::0.300))
(REMOVAL SR (posedge CK) (0.000::0.000))
(SETUP SR (posedge CK) (0.651::0.798))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.164::-0.143))
(SETUP D2 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.449::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.689::0.834))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH D1 Q (0.488::0.561)(0.904::1.040))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.164::-0.143))
(SETUP D1 (posedge CK) (0.351::0.404))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.059::-0.051))
(SETUP CK (posedge CK) (0.380::0.504))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.124::-0.108))
(SETUP CK (posedge CK) (0.380::0.482))
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_OUTFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.177::0.204)(0.411::0.472))
(IOPATH SR Q (0.301::0.346)(0.821::0.945))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD SR (posedge CK) (-0.318::-0.277))
(RECOVERY SR (posedge CK) (0.249::0.286))
(REMOVAL SR (posedge CK) (0.000::0.000))
(SETUP SR (posedge CK) (0.471::0.591))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.707::0.885))
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD D2 (posedge CK) (-0.302::-0.264))
(SETUP D2 (posedge CK) (0.622::0.788))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_FF")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.697::0.873))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_LAT")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH D1 Q (0.513::0.590)(0.989::1.138))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
(TIMINGCHECK
(HOLD D1 (posedge CK) (-0.302::-0.264))
(SETUP D1 (posedge CK) (0.352::0.405))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_OPPEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_SAMEEDGE")
(INSTANCE OLOGICE3)
(DELAY
(ABSOLUTE
(IOPATH CLK Q (0.192::0.221)(0.480::0.552))
(IOPATH SR Q (0.328::0.377)(0.916::1.054))
)
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_NEG")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
(CELL
(CELLTYPE "OLOGICE3_TFF_TCE_DDR_SAMEEDGE")
(INSTANCE OLOGICE3)
(TIMINGCHECK
(HOLD CK (posedge CK) (-0.068::-0.060))
(SETUP CK (posedge CK) (0.389::0.505))
)
)
)

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff