10 lines
105 B
Coq
10 lines
105 B
Coq
|
|
module test();
|
||
|
|
|
||
|
|
reg [7:0] array[3:0][3:0];
|
||
|
|
|
||
|
|
initial begin
|
||
|
|
$dumpvars(0, array[0][0][0]);
|
||
|
|
end
|
||
|
|
|
||
|
|
endmodule
|