Tests: Fix race and dead code.
This commit is contained in:
parent
c8ba51581f
commit
ff61bb509c
|
|
@ -14,6 +14,7 @@ module t (/*AUTOARG*/
|
||||||
|
|
||||||
reg [63:0] crc;
|
reg [63:0] crc;
|
||||||
`verilator_file_descriptor fd;
|
`verilator_file_descriptor fd;
|
||||||
|
`verilator_file_descriptor fdtmp;
|
||||||
|
|
||||||
t_case_write1_tasks tasks ();
|
t_case_write1_tasks tasks ();
|
||||||
|
|
||||||
|
|
@ -32,7 +33,8 @@ module t (/*AUTOARG*/
|
||||||
if (cyc==1) begin
|
if (cyc==1) begin
|
||||||
crc <= 64'h00000000_00000097;
|
crc <= 64'h00000000_00000097;
|
||||||
$write("Open obj_dir/t_case_write1/t_case_write1_logger.log\n");
|
$write("Open obj_dir/t_case_write1/t_case_write1_logger.log\n");
|
||||||
fd = $fopen("obj_dir/t_case_write1/t_case_write1_logger.log", "w");
|
fdtmp = $fopen("obj_dir/t_case_write1/t_case_write1_logger.log", "w");
|
||||||
|
fd <= fdtmp;
|
||||||
end
|
end
|
||||||
if (cyc==90) begin
|
if (cyc==90) begin
|
||||||
$write("*-* All Finished *-*\n");
|
$write("*-* All Finished *-*\n");
|
||||||
|
|
|
||||||
|
|
@ -14,6 +14,7 @@ module t (/*AUTOARG*/
|
||||||
|
|
||||||
reg [63:0] crc;
|
reg [63:0] crc;
|
||||||
`verilator_file_descriptor fd;
|
`verilator_file_descriptor fd;
|
||||||
|
`verilator_file_descriptor fdtmp;
|
||||||
|
|
||||||
t_case_write2_tasks tasks ();
|
t_case_write2_tasks tasks ();
|
||||||
|
|
||||||
|
|
@ -32,7 +33,8 @@ module t (/*AUTOARG*/
|
||||||
if (cyc==1) begin
|
if (cyc==1) begin
|
||||||
crc <= 64'h00000000_00000097;
|
crc <= 64'h00000000_00000097;
|
||||||
$write("Open obj_dir/t_case_write2/t_case_write2_logger.log\n");
|
$write("Open obj_dir/t_case_write2/t_case_write2_logger.log\n");
|
||||||
fd = $fopen("obj_dir/t_case_write2/t_case_write2_logger.log", "w");
|
fdtmp = $fopen("obj_dir/t_case_write2/t_case_write2_logger.log", "w");
|
||||||
|
fd <= fdtmp;
|
||||||
end
|
end
|
||||||
if (cyc==90) begin
|
if (cyc==90) begin
|
||||||
$write("*-* All Finished *-*\n");
|
$write("*-* All Finished *-*\n");
|
||||||
|
|
|
||||||
|
|
@ -19,7 +19,7 @@ if (!-r "$root/.git") {
|
||||||
my $files = `cd $root && git ls-files --exclude-standard`;
|
my $files = `cd $root && git ls-files --exclude-standard`;
|
||||||
print "ST $files\n" if $Debug;
|
print "ST $files\n" if $Debug;
|
||||||
$files =~ s/\s+/ /g;
|
$files =~ s/\s+/ /g;
|
||||||
my $cmd = "cd $root && fgrep -n FIX"."ME $files | sort | grep -v t_dist_fixme";
|
my $cmd = "cd $root && grep -n -P '(FIX"."ME|BO"."ZO)' $files | sort";
|
||||||
my $grep = `$cmd`;
|
my $grep = `$cmd`;
|
||||||
print "$grep\n";
|
print "$grep\n";
|
||||||
if ($grep ne "") {
|
if ($grep ne "") {
|
||||||
|
|
|
||||||
|
|
@ -8,11 +8,11 @@ if (!$::Driver) { use FindBin; exec("$FindBin::Bin/bootstrap.pl", @ARGV, $0); di
|
||||||
# Version 2.0.
|
# Version 2.0.
|
||||||
|
|
||||||
compile (
|
compile (
|
||||||
);
|
);
|
||||||
|
|
||||||
execute (
|
execute (
|
||||||
check_finished=>1,
|
check_finished=>1,
|
||||||
);
|
);
|
||||||
|
|
||||||
ok(1);
|
ok(1);
|
||||||
1;
|
1;
|
||||||
|
|
|
||||||
|
|
@ -21,13 +21,11 @@ module t (/*AUTOARG*/
|
||||||
/*AUTOWIRE*/
|
/*AUTOWIRE*/
|
||||||
// Beginning of automatic wires (for undeclared instantiated-module outputs)
|
// Beginning of automatic wires (for undeclared instantiated-module outputs)
|
||||||
wire [31:0] out; // From test of Test.v
|
wire [31:0] out; // From test of Test.v
|
||||||
wire [31:0] swapped; // From test of Test.v
|
|
||||||
// End of automatics
|
// End of automatics
|
||||||
|
|
||||||
Test test (/*AUTOINST*/
|
Test test (/*AUTOINST*/
|
||||||
// Outputs
|
// Outputs
|
||||||
.out (out[31:0]),
|
.out (out[31:0]),
|
||||||
.swapped (swapped[31:0]),
|
|
||||||
// Inputs
|
// Inputs
|
||||||
.clk (clk),
|
.clk (clk),
|
||||||
.noswap (noswap),
|
.noswap (noswap),
|
||||||
|
|
@ -67,7 +65,7 @@ endmodule
|
||||||
|
|
||||||
module Test (/*AUTOARG*/
|
module Test (/*AUTOARG*/
|
||||||
// Outputs
|
// Outputs
|
||||||
out, swapped,
|
out,
|
||||||
// Inputs
|
// Inputs
|
||||||
clk, noswap, nibble, in
|
clk, noswap, nibble, in
|
||||||
);
|
);
|
||||||
|
|
@ -78,7 +76,6 @@ module Test (/*AUTOARG*/
|
||||||
|
|
||||||
input [31:0] in;
|
input [31:0] in;
|
||||||
output [31:0] out;
|
output [31:0] out;
|
||||||
output [31:0] swapped;
|
|
||||||
|
|
||||||
function [7:0] EndianSwap;
|
function [7:0] EndianSwap;
|
||||||
input Nibble;
|
input Nibble;
|
||||||
|
|
@ -98,12 +95,4 @@ module Test (/*AUTOARG*/
|
||||||
: EndianSwap(nibble, in[15:8]));
|
: EndianSwap(nibble, in[15:8]));
|
||||||
assign out[7:0] = (noswap ? in[7:0]
|
assign out[7:0] = (noswap ? in[7:0]
|
||||||
: EndianSwap(nibble, in[7:0]));
|
: EndianSwap(nibble, in[7:0]));
|
||||||
|
|
||||||
reg [31:0] swapped;
|
|
||||||
always @(posedge clk) begin
|
|
||||||
swapped[31:24] <= EndianSwap(nibble, in[31:24]);
|
|
||||||
swapped[23:16] <= EndianSwap(nibble, in[23:16]);
|
|
||||||
swapped[15:8] <= EndianSwap(nibble, in[15:8] );
|
|
||||||
swapped[7:0] <= EndianSwap(nibble, in[7:0] );
|
|
||||||
end
|
|
||||||
endmodule
|
endmodule
|
||||||
|
|
|
||||||
Loading…
Reference in New Issue