Tests (#6698 testcase only)
This commit is contained in:
parent
382d90e03e
commit
eb6ce0799c
|
|
@ -5,20 +5,27 @@
|
||||||
// SPDX-License-Identifier: CC0-1.0
|
// SPDX-License-Identifier: CC0-1.0
|
||||||
|
|
||||||
virtual class VBase;
|
virtual class VBase;
|
||||||
pure virtual function int hello();
|
pure virtual function int hello();
|
||||||
|
// See Issue #6698; appears IEEE illegal
|
||||||
|
// pure virtual task automatic fin();
|
||||||
|
pure virtual task fin();
|
||||||
endclass
|
endclass
|
||||||
|
|
||||||
class VA extends VBase;
|
class VA extends VBase;
|
||||||
virtual function int hello;
|
virtual function int hello;
|
||||||
return 2;
|
return 2;
|
||||||
endfunction
|
endfunction
|
||||||
|
virtual task automatic fin;
|
||||||
|
$write("*-* All Finished *-*\n");
|
||||||
|
$finish;
|
||||||
|
endtask
|
||||||
endclass
|
endclass
|
||||||
|
|
||||||
module t;
|
module t;
|
||||||
initial begin
|
initial begin
|
||||||
VA va = new;
|
VA va;
|
||||||
if (va.hello() != 2) $stop;
|
va = new;
|
||||||
$write("*-* All Finished *-*\n");
|
if (va.hello() != 2) $stop;
|
||||||
$finish;
|
va.fin();
|
||||||
end
|
end
|
||||||
endmodule
|
endmodule
|
||||||
|
|
|
||||||
Loading…
Reference in New Issue