Tests (#6698 testcase only)

This commit is contained in:
Wilson Snyder 2025-11-16 17:16:21 -05:00
parent 382d90e03e
commit eb6ce0799c
1 changed files with 17 additions and 10 deletions

View File

@ -6,19 +6,26 @@
virtual class VBase; virtual class VBase;
pure virtual function int hello(); pure virtual function int hello();
// See Issue #6698; appears IEEE illegal
// pure virtual task automatic fin();
pure virtual task fin();
endclass endclass
class VA extends VBase; class VA extends VBase;
virtual function int hello; virtual function int hello;
return 2; return 2;
endfunction endfunction
virtual task automatic fin;
$write("*-* All Finished *-*\n");
$finish;
endtask
endclass endclass
module t; module t;
initial begin initial begin
VA va = new; VA va;
va = new;
if (va.hello() != 2) $stop; if (va.hello() != 2) $stop;
$write("*-* All Finished *-*\n"); va.fin();
$finish;
end end
endmodule endmodule