Tests: Indent fixes

This commit is contained in:
Wilson Snyder 2025-12-23 19:20:36 -05:00
parent 5dc05e1fa8
commit 3f4fe73191
5 changed files with 68 additions and 60 deletions

View File

@ -23,7 +23,11 @@ module t (/*AUTOARG*/
// Generate multiple sub-modules - each creates CFuncs that can be inlined
generate
for (genvar g = 0; g < CNT; g++) begin : gen_sub
sub sub_inst (.clk(clk), .i(w[g]), .z(w[g+1]));
sub sub_inst (
.clk(clk),
.i(w[g]),
.z(w[g+1])
);
end
endgenerate
@ -46,7 +50,11 @@ module t (/*AUTOARG*/
endmodule
// Small sub-module that generates inlineable CFuncs
module sub (input clk, input [31:0] i, output reg [31:0] z);
module sub (
input clk,
input [31:0] i,
output reg [31:0] z
);
reg [7:0] local_a;
reg [7:0] local_b;