2006-08-26 13:35:28 +02:00
|
|
|
|
Revision history for Verilator
|
|
|
|
|
|
|
|
|
|
|
|
The contributors that suggested a given feature are shown in []. [by ...]
|
|
|
|
|
|
indicates the contributor was also the author of the fix; Thanks!
|
2012-03-08 05:02:24 +01:00
|
|
|
|
|
2013-02-10 15:54:27 +01:00
|
|
|
|
* Verilator 3.846-devel
|
|
|
|
|
|
|
2013-02-14 01:32:36 +01:00
|
|
|
|
**** Support pattern assignments to const variables, bug616. [Ed Lander]
|
|
|
|
|
|
|
2013-02-14 02:32:25 +01:00
|
|
|
|
**** Support pattern assignments in function calls, bug617. [Ed Lander]
|
|
|
|
|
|
|
2013-02-10 15:54:27 +01:00
|
|
|
|
**** Fix DETECTARRAY on packed structures, bug610. [Jeremy Bennett]
|
|
|
|
|
|
|
2013-02-14 01:03:10 +01:00
|
|
|
|
**** Fix LITENDIAN on unpacked structures, bug614. [Wai Sum Mong]
|
|
|
|
|
|
|
2013-02-11 13:17:18 +01:00
|
|
|
|
**** Fix 32-bit OS VPI scan issue, bug615. [Jeremy Bennett, Rich Porter]
|
|
|
|
|
|
|
|
|
|
|
|
|
2013-02-05 04:12:21 +01:00
|
|
|
|
* Verilator 3.845 2013/02/04
|
2013-01-15 03:49:22 +01:00
|
|
|
|
|
2013-01-15 03:51:02 +01:00
|
|
|
|
*** Fix nested packed arrays and struct, bug600. [Jeremy Bennett]
|
2013-01-15 03:49:22 +01:00
|
|
|
|
Packed arrays are now represented as a single linear vector in
|
2013-01-15 03:51:02 +01:00
|
|
|
|
Verilated models. This may affect packed arrays that are public or
|
2013-01-15 03:49:22 +01:00
|
|
|
|
accessed via the VPI.
|
|
|
|
|
|
|
2013-02-02 15:33:04 +01:00
|
|
|
|
*** Support wires with data types, bug608. [Ed Lander]
|
|
|
|
|
|
|
2013-01-15 05:19:44 +01:00
|
|
|
|
*** Support bind, to module names only, bug602. [Ed Lander]
|
|
|
|
|
|
|
2013-01-18 03:40:37 +01:00
|
|
|
|
*** Support VPI product info, warning calls, etc, bug588. [Rick Porter]
|
|
|
|
|
|
|
2013-01-20 18:19:22 +01:00
|
|
|
|
*** Support $left, $right and related functions, bug448. [Iztok Jeras]
|
|
|
|
|
|
|
2013-02-02 18:55:28 +01:00
|
|
|
|
*** Support inside expressions.
|
|
|
|
|
|
|
2013-01-17 02:58:48 +01:00
|
|
|
|
*** Define SYSTEMVERILOG, SV_COV_START and other IEEE mandated predefines.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fix pin width mismatch error, bug595. [Alex Solomatnikov]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fix implicit one bit parameter selection, bug603. [Jeremy Bennett]
|
2013-01-16 01:26:35 +01:00
|
|
|
|
|
2013-01-19 03:35:43 +01:00
|
|
|
|
**** Fix signed/unsigned parameter misconversion, bug606. [Jeremy Bennett]
|
|
|
|
|
|
|
2013-01-26 03:27:19 +01:00
|
|
|
|
**** Fix segfault on multidimensional dotted arrays, bug607. [Jie Xu]
|
|
|
|
|
|
|
2013-02-03 00:33:10 +01:00
|
|
|
|
**** Fix per-bit array output connection error, bug414. [Jan Egil Ruud]
|
|
|
|
|
|
|
2013-01-18 01:04:36 +01:00
|
|
|
|
**** Fix package logic var compile error.
|
|
|
|
|
|
|
2013-02-02 18:43:28 +01:00
|
|
|
|
**** Fix enums with X values.
|
|
|
|
|
|
|
2013-01-16 01:26:35 +01:00
|
|
|
|
|
2013-01-10 04:08:30 +01:00
|
|
|
|
* Verilator 3.844 2013/01/09
|
2012-12-04 02:43:13 +01:00
|
|
|
|
|
|
|
|
|
|
*** Support "unsigned int" DPI import functions, msg966. [Alex Lee]
|
|
|
|
|
|
|
2012-12-31 23:05:13 +01:00
|
|
|
|
*** Fix package resolution of parameters, bug586. [Jeremy Bennett]
|
|
|
|
|
|
|
2012-12-06 15:40:16 +01:00
|
|
|
|
**** Fix non-integer vpi_get_value, bug587. [Rich Porter]
|
|
|
|
|
|
|
2013-01-03 00:35:21 +01:00
|
|
|
|
**** Fix task inlining under $display and case, bug589, bug598. [Holger Waechtler]
|
2012-12-16 03:41:37 +01:00
|
|
|
|
|
2013-01-15 05:39:56 +01:00
|
|
|
|
**** Fix package import of non-localparam parameter, bug474, bug591. [Jeremy Bennett]
|
2012-12-18 01:07:23 +01:00
|
|
|
|
|
2012-12-18 02:26:40 +01:00
|
|
|
|
**** Fix package import of package imports, partial bug592. [Jeremy Bennett]
|
|
|
|
|
|
|
2013-01-09 01:06:52 +01:00
|
|
|
|
**** Fix package import preventing local var, bug599. [Jeremy Bennett]
|
|
|
|
|
|
|
2013-01-10 01:00:12 +01:00
|
|
|
|
**** Fix array extraction of implicit vars, bug601. [Joe Eiler]
|
|
|
|
|
|
|
2012-12-04 02:43:13 +01:00
|
|
|
|
|
2012-12-01 22:38:21 +01:00
|
|
|
|
* Verilator 3.843 2012/12/01
|
2012-11-04 01:19:31 +01:00
|
|
|
|
|
2012-11-14 02:12:23 +01:00
|
|
|
|
*** Add +1364-1995ext and similar language options, bug532. [Jeremy Bennett]
|
|
|
|
|
|
|
2012-11-28 13:36:47 +01:00
|
|
|
|
**** Fix mis-optimized identical submodule subtract, bug581. [Charlie Brej]
|
|
|
|
|
|
|
2012-11-30 12:57:36 +01:00
|
|
|
|
**** Fix crash on dotted references into dead modules, bug583. [Jeremy Bennett]
|
|
|
|
|
|
|
2012-11-14 02:36:20 +01:00
|
|
|
|
**** Fix compile issues on MSVCC, bug571, bug577. [Amir Gonnen]
|
2012-11-05 16:22:19 +01:00
|
|
|
|
|
2012-11-21 12:55:28 +01:00
|
|
|
|
**** Fix --debug overriding preceding --dump-treei, bug580. [Jeremy Bennett]
|
|
|
|
|
|
|
2012-11-04 01:19:31 +01:00
|
|
|
|
|
2012-11-04 01:16:01 +01:00
|
|
|
|
* Verilator 3.842 2012/11/03
|
2012-09-08 01:51:41 +02:00
|
|
|
|
|
2012-11-03 01:30:47 +01:00
|
|
|
|
**** Add -x-initial-edge, bug570. [Jeremy Bennett]
|
|
|
|
|
|
|
2012-09-08 01:51:41 +02:00
|
|
|
|
**** Fix parameter pins interspersed with cells broke in 3.840. [Bernard Deadman]
|
|
|
|
|
|
|
2012-10-08 13:05:54 +02:00
|
|
|
|
**** Fix large shift error on large shift constants. [David Welch]
|
|
|
|
|
|
|
2012-11-04 01:11:53 +01:00
|
|
|
|
**** Fix $display mangling on GCC 4.7 and speed up, msg927, bug373, bug574. [R Diez]
|
2012-10-28 22:35:48 +01:00
|
|
|
|
|
2012-11-03 14:17:42 +01:00
|
|
|
|
**** Fix array of struct references giving false error, bug566. [Julius Baxter]
|
|
|
|
|
|
|
2012-10-30 08:02:35 +01:00
|
|
|
|
**** Fix missing var access functions when no DPI, bug572. [Amir Gonnen]
|
|
|
|
|
|
|
2012-11-03 01:30:47 +01:00
|
|
|
|
**** Fix name collision on unnamed blocks, bug567. [Chandan Egbert]
|
2012-11-03 00:55:34 +01:00
|
|
|
|
|
2012-11-03 13:01:19 +01:00
|
|
|
|
**** Fix name collision on task inputs, bug569. [Chandan Egbert]
|
|
|
|
|
|
|
2012-09-08 01:51:41 +02:00
|
|
|
|
|
2012-09-04 01:58:52 +02:00
|
|
|
|
* Verilator 3.841 2012/09/03
|
2012-08-02 13:02:57 +02:00
|
|
|
|
|
2012-08-27 03:13:47 +02:00
|
|
|
|
*** Add --savable to support model save/restore. [Jeremy Bennett]
|
|
|
|
|
|
|
2012-08-12 21:15:21 +02:00
|
|
|
|
*** Support '{} assignment pattern on structures, part of bug355.
|
|
|
|
|
|
|
2012-08-02 13:02:57 +02:00
|
|
|
|
**** Fix double-deep parameter cell WIDTHs, bug541. [Hiroki Honda]
|
|
|
|
|
|
|
2012-08-11 01:39:18 +02:00
|
|
|
|
**** Fix imports under multiple instantiated cells, bug542. [Alex Solomatnikov]
|
2012-08-09 03:59:17 +02:00
|
|
|
|
|
2012-08-11 01:39:18 +02:00
|
|
|
|
**** Fix defparam in generate broke in 3.840, bug543. [Alex Solomatnikov]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fix duplicate begin error broke in 3.840, bug548. [Alex Solomatnikov]
|
2012-08-08 00:24:51 +02:00
|
|
|
|
|
2012-08-16 03:28:30 +02:00
|
|
|
|
**** Fix triangle symbol resolution error broke in 3.840, bug550. [Ted Campbell]
|
|
|
|
|
|
|
2012-08-02 13:02:57 +02:00
|
|
|
|
|
2012-08-01 00:09:00 +02:00
|
|
|
|
* Verilator 3.840 2012/07/31 Beta
|
2012-04-17 02:20:58 +02:00
|
|
|
|
|
2012-04-22 03:45:28 +02:00
|
|
|
|
** Rewrote tristate handling; supports tri0, tri1, tristate bit selects,
|
|
|
|
|
|
concatenates and pullup/pulldowns, bug395, bug56, bug54, bug51.
|
|
|
|
|
|
[Alex Solomatnikov, Lane Brooks, et al]
|
|
|
|
|
|
|
2012-07-29 16:16:20 +02:00
|
|
|
|
** Support packed structures and unions, bug181.
|
|
|
|
|
|
Note this was a major internal change that may lead to some instability.
|
2012-04-29 16:14:13 +02:00
|
|
|
|
|
2012-04-22 03:45:28 +02:00
|
|
|
|
*** Support tri0 and tri1, bug462. [Alex Solomatnikov]
|
|
|
|
|
|
|
2012-04-24 02:13:07 +02:00
|
|
|
|
*** Support nmos and pmos, bug488. [Alex Solomatnikov]
|
|
|
|
|
|
|
2012-04-27 02:40:13 +02:00
|
|
|
|
*** Add INITIALDLY warning on initial assignments, bug478. [Alex Solomatnikov]
|
|
|
|
|
|
|
2012-04-27 00:43:12 +02:00
|
|
|
|
*** Add PINMISSING and PINNOCONNECT lint checks.
|
|
|
|
|
|
|
2012-07-18 03:29:10 +02:00
|
|
|
|
*** Add --converge-limit option.
|
2012-06-01 00:56:31 +02:00
|
|
|
|
|
2012-04-20 04:53:52 +02:00
|
|
|
|
*** Fix generate operators not short circuiting, bug413. [by Jeremy Bennett]
|
2012-03-08 05:02:24 +01:00
|
|
|
|
|
2012-05-04 03:59:47 +02:00
|
|
|
|
*** Fix parameters not supported in constant functions, bug474. [Alex Solomatnikov]
|
|
|
|
|
|
|
2012-05-22 03:31:52 +02:00
|
|
|
|
**** Fix duplicate warnings/errors, bug516. [Alex Solomatnikov]
|
|
|
|
|
|
|
2012-05-17 04:18:52 +02:00
|
|
|
|
**** Fix signed extending biops with WIDTH warning off, bug511. [Junji Hashimoto]
|
|
|
|
|
|
|
2012-04-27 04:30:22 +02:00
|
|
|
|
**** Fix ITOD internal error on real conversions, bug491. [Alex Solomatnikov]
|
|
|
|
|
|
|
2012-05-03 02:53:38 +02:00
|
|
|
|
**** Fix input and real loosing real data type, bug501. [Alex Solomatnikov]
|
|
|
|
|
|
|
2012-04-25 03:21:26 +02:00
|
|
|
|
**** Fix imports causing symbol table error, bug490. [Alex Solomatnikov]
|
2012-04-22 03:45:28 +02:00
|
|
|
|
|
2012-05-07 14:58:29 +02:00
|
|
|
|
**** Fix newlines in radix values, bug507. [Walter Lavino]
|
|
|
|
|
|
|
2012-05-17 01:31:24 +02:00
|
|
|
|
**** Fix loop error message to report line, bug513. [Jeremy Bennett]
|
|
|
|
|
|
|
2012-07-18 03:29:10 +02:00
|
|
|
|
**** Fix false UNUSED warning on file system calls.
|
2012-06-03 14:20:12 +02:00
|
|
|
|
|
2012-07-15 18:27:36 +02:00
|
|
|
|
**** Fix GCC 4.7.0 compile warnings, bug530. [Jeremy Bennett]
|
|
|
|
|
|
|
2012-07-15 17:28:26 +02:00
|
|
|
|
**** Fix svdpi.h compile error on Apple OS.
|
|
|
|
|
|
|
2012-07-23 01:51:14 +02:00
|
|
|
|
**** Fix compile error under git submodules, bug534. [Aurelien Francillon]
|
|
|
|
|
|
|
2012-05-07 14:58:29 +02:00
|
|
|
|
|
2012-04-15 22:21:31 +02:00
|
|
|
|
* Verilator 3.833 2012/04/15
|
2012-03-10 00:37:38 +01:00
|
|
|
|
|
2012-03-23 02:02:38 +01:00
|
|
|
|
*** Support += and -= in standard for loops, bug463. [Alex Solomatnikov]
|
|
|
|
|
|
|
2012-04-02 13:17:37 +02:00
|
|
|
|
*** Fix processing unused parametrized modules, bug469, bug470. [Alex Solomatnikov]
|
2012-03-24 20:54:06 +01:00
|
|
|
|
|
2012-04-05 03:55:20 +02:00
|
|
|
|
**** Add SELRANGE as warning instead of error, bug477. [Alex Solomatnikov]
|
|
|
|
|
|
|
2012-04-15 20:51:04 +02:00
|
|
|
|
**** Add readme.pdf and internal.pdf and doxygen, bug483. [by Jeremy Bennett]
|
|
|
|
|
|
|
2012-04-12 12:48:02 +02:00
|
|
|
|
**** Fix change detections on arrays, bug364. [John Stevenson, Alex Solomatnikov]
|
2012-04-10 02:17:51 +02:00
|
|
|
|
|
2012-03-13 01:29:00 +01:00
|
|
|
|
**** Fix signed array warning, bug456. [Alex Solomatnikov]
|
|
|
|
|
|
|
2012-03-23 13:49:47 +01:00
|
|
|
|
**** Fix genvar and begin under generate, bug461. [Alex Solomatnikov]
|
|
|
|
|
|
|
2012-04-03 03:58:40 +02:00
|
|
|
|
**** Fix real constant parameter functions, bug475. [Alex Solomatnikov]
|
|
|
|
|
|
|
2012-03-10 00:37:38 +01:00
|
|
|
|
**** Fix and document --gdb option, bug454. [Jeremy Bennett]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2012-03-30 13:26:00 +02:00
|
|
|
|
**** Fix OpenSolaris compile error. [Sanjay Singh]
|
|
|
|
|
|
|
2011-10-26 00:11:06 +02:00
|
|
|
|
|
2012-03-08 04:50:13 +01:00
|
|
|
|
* Verilator 3.832 2012/03/07
|
2012-03-03 17:29:09 +01:00
|
|
|
|
|
2012-01-26 14:10:50 +01:00
|
|
|
|
*** Fix memory delayed assignments from multiple clock domains. [Andrew Ling]
|
|
|
|
|
|
|
2012-03-08 02:14:18 +01:00
|
|
|
|
*** Support arrayed SystemC I/O pins. [Christophe Joly]
|
|
|
|
|
|
|
2012-01-26 14:10:50 +01:00
|
|
|
|
*** Report MULTIDRIVEN on memories set in multiple clock domains.
|
|
|
|
|
|
|
2012-03-08 02:14:18 +01:00
|
|
|
|
*** Report ENDLABEL on mismatching end labels, bug450. [Iztok Jeras]
|
2012-02-02 02:20:43 +01:00
|
|
|
|
|
2012-02-26 03:31:36 +01:00
|
|
|
|
**** Fix expansion of back-slashed escaped macros, bug441. [Alberto Del Rio]
|
|
|
|
|
|
|
2012-03-04 03:03:34 +01:00
|
|
|
|
**** Fix inheriting real and signed type across untyped parameters.
|
2012-03-03 22:29:06 +01:00
|
|
|
|
|
2012-02-26 03:31:36 +01:00
|
|
|
|
**** Fix core dump with over 100 deep UNOPTFLAT, bug432. [Joe Eiler]
|
2012-01-27 02:20:23 +01:00
|
|
|
|
|
2012-02-16 13:17:08 +01:00
|
|
|
|
**** Fix false command not found warning in makefiles. [Ruben Diez]
|
|
|
|
|
|
|
2012-02-22 03:25:11 +01:00
|
|
|
|
**** Fix hang when functions inside begin block. [David Welch]
|
2012-01-26 01:23:58 +01:00
|
|
|
|
|
2012-02-24 03:37:49 +01:00
|
|
|
|
**** Fix hang on recursive substitution `defines, bug443. [Alex Solomatnikov]
|
|
|
|
|
|
|
|
|
|
|
|
|
2012-01-20 13:02:39 +01:00
|
|
|
|
* Verilator 3.831 2012/01/20
|
2011-11-27 18:03:22 +01:00
|
|
|
|
|
2012-01-20 02:30:41 +01:00
|
|
|
|
** Support SystemC 2.3.0 prerelease. This requires setting the new
|
|
|
|
|
|
SYSTEMC_INCLUDE and SYSTEMC_LIBDIR variables in place of now
|
|
|
|
|
|
deprecated SYSTEMC and SYSTEMC_ARCH. [Iztok Jeras]
|
|
|
|
|
|
|
2011-11-27 18:03:22 +01:00
|
|
|
|
**** Suppress VARHIDDEN on dpi import arguments. [Ruben Diez]
|
|
|
|
|
|
|
2011-11-30 00:23:18 +01:00
|
|
|
|
**** Support "generate for (genvar i=0; ...". [David Kravitz]
|
|
|
|
|
|
|
2011-11-29 03:15:57 +01:00
|
|
|
|
**** Fix dpi exports with > 32 bit but < 64 bit args, bug423. [Chandan Egbert]
|
|
|
|
|
|
|
2011-11-29 04:10:43 +01:00
|
|
|
|
**** Fix array of instantiations with sub-range output, bug414. [Jeremy Bennett]
|
|
|
|
|
|
|
2012-01-20 03:15:21 +01:00
|
|
|
|
**** Fix BLKSEQ warnings on variables declared inside always. [Ruben Diez]
|
2011-11-27 18:03:22 +01:00
|
|
|
|
|
2012-01-20 13:02:39 +01:00
|
|
|
|
|
2011-11-27 16:37:23 +01:00
|
|
|
|
* Verilator 3.830 2011/11/27
|
2011-10-26 01:11:45 +02:00
|
|
|
|
|
2011-11-25 06:49:38 +01:00
|
|
|
|
** With "--language VAMS" support a touch of Verilog AMS. [Holger Waechtler]
|
|
|
|
|
|
|
2011-10-26 14:57:27 +02:00
|
|
|
|
*** Add sc_bv attribute to force bit vectors, bug402. [by Stefan Wallentowitz]
|
|
|
|
|
|
|
2011-10-29 00:57:40 +02:00
|
|
|
|
**** Search for user -y paths before default current directory. [Ruben Diez]
|
|
|
|
|
|
|
2011-11-02 23:34:17 +01:00
|
|
|
|
**** Support constants in sensitivity lists, bug412. [Jeremy Bennett]
|
|
|
|
|
|
|
2011-11-20 08:01:48 +01:00
|
|
|
|
**** Support $system. [Ruben Diez]
|
|
|
|
|
|
|
2012-03-10 16:56:48 +01:00
|
|
|
|
**** Support $sscanf with %g. [Holger Waechtler]
|
2011-11-20 07:01:02 +01:00
|
|
|
|
|
2011-11-01 02:39:15 +01:00
|
|
|
|
**** Indicate 'exiting due to errors' if errors, not warnings. [Ruben Diez]
|
|
|
|
|
|
|
2011-11-12 14:07:30 +01:00
|
|
|
|
**** Fix bad result with if-else-return optimization, bug420. [Alex Solomatnikov]
|
|
|
|
|
|
|
2011-10-28 02:56:38 +02:00
|
|
|
|
**** Fix reporting not found modules if generate-off, bug403. [Jeremy Bennett]
|
|
|
|
|
|
|
2012-03-10 16:56:48 +01:00
|
|
|
|
**** Fix $display with %d following %g. [Holger Waechtler]
|
2011-11-20 06:45:59 +01:00
|
|
|
|
|
2011-10-26 01:11:45 +02:00
|
|
|
|
|
2011-10-26 00:59:40 +02:00
|
|
|
|
* Verilator 3.824 2011/10/25
|
2011-10-26 00:08:24 +02:00
|
|
|
|
|
|
|
|
|
|
*** Fix "always @ (* )", bug403, bug404. [Walter Lavino]
|
|
|
|
|
|
|
2011-10-26 00:57:49 +02:00
|
|
|
|
*** Add ASSIGNIN as suppressable error. [Jeremy Bennett]
|
2011-10-26 00:41:04 +02:00
|
|
|
|
|
2011-10-26 00:57:49 +02:00
|
|
|
|
**** Fix 3.823 constructor core dump on Debian, bug401. [Ahmed El-Mahmoudy]
|
2011-10-26 00:08:24 +02:00
|
|
|
|
|
2011-10-26 00:59:40 +02:00
|
|
|
|
|
2011-10-26 00:11:06 +02:00
|
|
|
|
* Verilator 3.823 2011/10/20
|
2011-09-21 15:08:05 +02:00
|
|
|
|
|
2011-09-29 03:35:16 +02:00
|
|
|
|
*** Support $ceil, $floor, etc. [Alex Solomatnikov]
|
|
|
|
|
|
|
2011-10-07 12:48:40 +02:00
|
|
|
|
*** Add configure options for cc warnings and extended tests. [Ruben Diez]
|
|
|
|
|
|
|
2011-10-21 02:50:42 +02:00
|
|
|
|
*** Add -Wall reporting ASSIGNDLY on assignment delays. [Ruben Diez]
|
|
|
|
|
|
|
2011-10-07 14:29:34 +02:00
|
|
|
|
*** Fix UNDRIVEN warnings inside DPI import functions. [Ruben Diez]
|
|
|
|
|
|
|
|
|
|
|
|
*** Fix --help output to go to stderr, not stdout, bug397. [Ruben Diez]
|
|
|
|
|
|
|
2011-10-08 01:47:10 +02:00
|
|
|
|
**** Fix DPI import output of 64 bits, bug398. [Mike Denio]
|
|
|
|
|
|
|
2011-10-08 02:04:15 +02:00
|
|
|
|
**** Fix DPI import false BLKSEQ warnings. [Alex Solomatnikov]
|
|
|
|
|
|
|
2011-09-21 15:08:05 +02:00
|
|
|
|
**** Fix MSVC compile warning with trunc/round, bug394. [Amir Gonnen]
|
|
|
|
|
|
|
2011-10-05 23:07:10 +02:00
|
|
|
|
**** Fix autoconf and Makefile warnings, bug396. [Ruben Diez]
|
2011-10-04 14:48:37 +02:00
|
|
|
|
|
2011-09-21 15:08:05 +02:00
|
|
|
|
|
2011-09-15 03:12:40 +02:00
|
|
|
|
* Verilator 3.821 2011/09/14
|
2011-08-10 01:56:22 +02:00
|
|
|
|
|
2011-08-23 03:02:09 +02:00
|
|
|
|
**** Fix PowerPC runtime error, bug288. [Ahmed El-Mahmoudy]
|
|
|
|
|
|
|
2011-08-10 01:56:22 +02:00
|
|
|
|
**** Fix internal error on integer casts, bug374. [Chandan Egbert]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2011-07-29 01:53:54 +02:00
|
|
|
|
* Verilator 3.820 2011/07/28
|
2011-06-29 03:26:49 +02:00
|
|
|
|
|
2011-07-24 21:01:51 +02:00
|
|
|
|
** Support 'real' numbers and related functions.
|
|
|
|
|
|
|
2011-07-02 18:45:26 +02:00
|
|
|
|
*** Support 'const' variables in limited cases; similar to enums. [Alex Solomatnikov]
|
|
|
|
|
|
|
2011-06-29 03:26:49 +02:00
|
|
|
|
*** Support disable for loop escapes.
|
|
|
|
|
|
|
2011-07-01 19:41:21 +02:00
|
|
|
|
*** Support $fopen and I/O with integer instead of `verilator_file_descriptor.
|
|
|
|
|
|
|
2011-07-29 01:41:05 +02:00
|
|
|
|
*** Support coverage in -cc and -sc output modes. [John Li]
|
|
|
|
|
|
Note this requires SystemPerl 1.338 or newer.
|
|
|
|
|
|
|
2011-07-27 19:03:49 +02:00
|
|
|
|
**** Fix vpi_register_cb using bad s_cb_data, bug370. [by Thomas Watts]
|
|
|
|
|
|
|
2011-07-14 13:39:11 +02:00
|
|
|
|
**** Fix $display missing leading zeros in %0d, bug367. [Alex Solomatnikov]
|
|
|
|
|
|
|
2011-06-30 01:19:01 +02:00
|
|
|
|
**** Use 'vluint64_t' for SystemC instead of (same sized) 'uint64' for MSVC++.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2011-06-29 02:52:04 +02:00
|
|
|
|
* Verilator 3.813 2011/06/28
|
2011-04-14 01:34:14 +02:00
|
|
|
|
|
2011-06-29 02:45:50 +02:00
|
|
|
|
*** Support bit vectors > 64 bits wide in DPI import and exports.
|
2011-04-14 01:34:14 +02:00
|
|
|
|
|
2011-05-21 14:19:33 +02:00
|
|
|
|
*** Fix out of memory on slice syntax error, bug354. [Alex Solomatnikov]
|
|
|
|
|
|
|
2011-06-29 02:45:50 +02:00
|
|
|
|
**** Fix error on enum references to other packages, bug339. [Alex Solomatnikov]
|
|
|
|
|
|
|
2011-05-12 12:32:29 +02:00
|
|
|
|
**** Fix DPI undeclared svBitVecVal compile error, bug346. [Chandan Egbert]
|
|
|
|
|
|
|
2011-06-29 02:45:50 +02:00
|
|
|
|
**** Fix DPI bit vector compile errors, bug347, bug359. [Chandan Egbert]
|
2011-05-12 13:35:28 +02:00
|
|
|
|
|
2011-04-18 16:47:02 +02:00
|
|
|
|
**** Fix CDCRSTLOGIC report showing endpoint flops without resets.
|
|
|
|
|
|
|
2011-05-10 05:49:17 +02:00
|
|
|
|
**** Fix compiler warnings on SPARC, bug288. [Ahmed El-Mahmoudy]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2011-04-06 13:33:44 +02:00
|
|
|
|
* Verilator 3.812 2011/04/06
|
2011-02-24 01:04:15 +01:00
|
|
|
|
|
2011-04-14 01:34:14 +02:00
|
|
|
|
*** Add --trace-max-width and --trace-max-array, bug319. [Alex Solomatnikov]
|
2011-02-24 03:58:27 +01:00
|
|
|
|
|
2011-03-22 23:09:39 +01:00
|
|
|
|
*** Add --Wno-fatal to turn off abort on warnings. [by Stefan Wallentowitz]
|
|
|
|
|
|
|
2011-03-21 17:25:31 +01:00
|
|
|
|
**** Support ${...} and $(...) env vars in .vc files. [by Stefan Wallentowitz]
|
|
|
|
|
|
|
2011-02-24 01:04:15 +01:00
|
|
|
|
**** Support $bits(data_type), bug327. [Alex Solomatnikov]
|
|
|
|
|
|
|
2011-04-14 01:34:14 +02:00
|
|
|
|
**** Support loop unrolling on width mismatches, bug333. [Joe Eiler]
|
2011-03-12 13:45:04 +01:00
|
|
|
|
|
2011-03-18 03:25:49 +01:00
|
|
|
|
**** Support simple cast operators, bug335. [Alex Solomatnikov]
|
|
|
|
|
|
|
2011-02-24 03:36:38 +01:00
|
|
|
|
**** Accelerate bit-selected inversions.
|
|
|
|
|
|
|
2011-03-08 02:44:19 +01:00
|
|
|
|
**** Add error on circular parameter definitions, bug329. [Alex Solomatnikov]
|
|
|
|
|
|
|
2011-02-24 03:21:59 +01:00
|
|
|
|
**** Fix concatenates and vectored bufif1, bug326. [Iztok Jeras]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2011-02-15 01:05:55 +01:00
|
|
|
|
* Verilator 3.811 2011/02/14
|
2011-01-06 12:46:19 +01:00
|
|
|
|
|
2011-01-30 00:00:48 +01:00
|
|
|
|
**** Report errors on duplicated or empty pins, bug321. [Christian Leber]
|
2011-01-29 23:01:06 +01:00
|
|
|
|
|
2011-02-15 01:25:30 +01:00
|
|
|
|
**** Report error on function call output tied to constant. [Bernard Deadman]
|
|
|
|
|
|
|
2011-01-19 03:28:51 +01:00
|
|
|
|
**** Throw UNUSED/UNDRIVEN only once per net in a parametrized module.
|
|
|
|
|
|
|
2011-02-15 01:25:30 +01:00
|
|
|
|
**** Fix internal error on functions called as SV tasks. [Bernard Deadman]
|
|
|
|
|
|
|
2011-02-08 01:15:58 +01:00
|
|
|
|
**** Fix internal error on non-inlined inout pins. [Jeff Winston]
|
|
|
|
|
|
|
2011-01-06 12:46:19 +01:00
|
|
|
|
**** Fix false BLKSEQ on non-unrolled for loop indexes. [Jeff Winston]
|
|
|
|
|
|
|
2011-01-12 00:46:21 +01:00
|
|
|
|
**** Fix block comment not separating identifiers, bug311. [Gene Sullivan]
|
|
|
|
|
|
|
2011-01-17 20:10:01 +01:00
|
|
|
|
**** Fix warnings to point to lowest net usage, not upper level ports.
|
|
|
|
|
|
|
2011-01-31 13:05:04 +01:00
|
|
|
|
**** Fix error on constants connected to outputs, bug323. [Christian Leber]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2011-01-03 19:32:16 +01:00
|
|
|
|
* Verilator 3.810 2011/01/03
|
2010-11-03 12:02:32 +01:00
|
|
|
|
|
2010-12-25 20:39:41 +01:00
|
|
|
|
** Add limited support for VPI access to public signals, see docs.
|
|
|
|
|
|
|
2010-11-03 12:02:32 +01:00
|
|
|
|
*** Add -F option to read relative option files, bug297. [Neil Hamilton]
|
|
|
|
|
|
|
2010-12-08 02:18:47 +01:00
|
|
|
|
*** Support ++,--,+= etc as standalone statements. [Alex Solomatnikov]
|
|
|
|
|
|
|
2010-12-26 15:31:09 +01:00
|
|
|
|
**** When running with VERILATOR_ROOT, optionally find binaries under bin.
|
|
|
|
|
|
|
|
|
|
|
|
**** Suppress WIDTH warnings when adding/subtracting 1'b1.
|
|
|
|
|
|
|
|
|
|
|
|
** Add -Wall, -Wwarn-style, -Wno-style to enable code style warnings
|
|
|
|
|
|
that have been added to this release, and disabled by default:
|
2010-12-02 20:00:43 +01:00
|
|
|
|
|
2011-01-01 00:36:29 +01:00
|
|
|
|
*** With --Wall, add BLKSEQ warning on blocking assignments in seq blocks.
|
|
|
|
|
|
|
2010-12-25 22:31:22 +01:00
|
|
|
|
*** With --Wall, add DECLFILENAME warning on modules not matching filename.
|
|
|
|
|
|
|
2010-12-25 21:50:07 +01:00
|
|
|
|
*** With --Wall, add DEFPARAM warning on deprecated defparam statements.
|
|
|
|
|
|
|
2010-12-26 15:31:09 +01:00
|
|
|
|
*** With --Wall, add IFDEPTH warning on deep if statements.
|
2010-12-25 21:13:56 +01:00
|
|
|
|
|
2010-12-26 15:31:09 +01:00
|
|
|
|
*** With --Wall, add INCABSPATH warning on `include with absolute paths.
|
2010-12-07 17:43:43 +01:00
|
|
|
|
|
2010-12-31 13:51:14 +01:00
|
|
|
|
*** With --Wall, add SYNCASYNCNET warning on mixed sync/async reset nets.
|
|
|
|
|
|
|
2010-12-29 14:06:05 +01:00
|
|
|
|
*** With --Wall, add UNDRIVEN warning on undriven nets.
|
|
|
|
|
|
|
|
|
|
|
|
*** With --Wall, add UNUSED warning on unused nets.
|
|
|
|
|
|
|
2010-12-26 15:31:09 +01:00
|
|
|
|
*** The VARHIDDEN warning is now disabled by default, use -Wall to enable.
|
2010-12-25 21:28:13 +01:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2010-11-03 02:11:02 +01:00
|
|
|
|
* Verilator 3.805 2010/11/02
|
2010-09-28 15:33:59 +02:00
|
|
|
|
|
2010-10-28 15:51:36 +02:00
|
|
|
|
**** Add warning when directory contains spaces, msg378. [Salman Sheikh]
|
|
|
|
|
|
|
2010-09-28 15:33:59 +02:00
|
|
|
|
**** Fix wrong filename on include file errors, bug289. [Brad Parker]
|
|
|
|
|
|
|
2010-10-04 13:48:09 +02:00
|
|
|
|
**** Fix segfault on SystemVerilog "output wire foo=0", bug291. [Joshua Wise]
|
|
|
|
|
|
|
2010-10-22 19:10:31 +02:00
|
|
|
|
**** Fix DPI export name not found, msg369. [Terry Chen]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2010-09-20 21:46:17 +02:00
|
|
|
|
* Verilator 3.804 2010/09/20
|
2010-08-10 17:18:53 +02:00
|
|
|
|
|
2010-08-30 01:28:46 +02:00
|
|
|
|
*** Support tracing/coverage of underscore signals, bug280. [by Jason McMullan]
|
|
|
|
|
|
|
2010-09-20 21:20:16 +02:00
|
|
|
|
**** Fix preprocessor `` of existing base define, bug283. [Usha Priyadharshini]
|
|
|
|
|
|
|
2010-08-10 17:18:53 +02:00
|
|
|
|
**** Increase define recursions before error. [Paul Liu]
|
|
|
|
|
|
|
2010-09-20 15:21:29 +02:00
|
|
|
|
**** On core dump, print debug suggestions.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2010-07-11 00:31:20 +02:00
|
|
|
|
* Verilator 3.803 2010/07/10
|
2010-05-26 01:37:45 +02:00
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
*** Fix preprocessor preservation of newlines across macro substitutions.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fix preprocessor stringification of nested macros.
|
|
|
|
|
|
|
2010-05-26 01:37:45 +02:00
|
|
|
|
**** Fix some constant parameter functions causing crash, bug253. [Nick Bowler]
|
|
|
|
|
|
|
2010-07-08 01:15:51 +02:00
|
|
|
|
**** Fix do {...} while() not requiring final semicolon.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2010-05-01 20:18:56 +02:00
|
|
|
|
* Verilator 3.802 2010/05/01
|
2010-03-17 13:22:49 +01:00
|
|
|
|
|
|
|
|
|
|
*** Support runtime access to public signal names.
|
|
|
|
|
|
|
2010-04-06 02:08:07 +02:00
|
|
|
|
*** Add /*verilator public_flat_rw*/ for timing-specific public access.
|
2010-04-06 02:01:17 +02:00
|
|
|
|
|
2010-04-10 03:51:15 +02:00
|
|
|
|
*** Fix word size to match uint64_t on -m64 systems, bug238. [Joe Eiler]
|
|
|
|
|
|
|
2010-04-22 15:40:53 +02:00
|
|
|
|
**** Improve error handling on slices of arrays, bug226. [by Byron Bradley]
|
2010-03-22 23:38:24 +01:00
|
|
|
|
|
2010-03-25 03:08:59 +01:00
|
|
|
|
**** Report errors when extra underscores used in meta-comments.
|
|
|
|
|
|
|
2010-04-22 15:40:53 +02:00
|
|
|
|
**** Fix bit reductions on multi-packed dimensions, bug227. [by Byron Bradley]
|
2010-04-10 03:05:46 +02:00
|
|
|
|
|
2010-04-20 01:38:22 +02:00
|
|
|
|
**** Fix removing $fscanf if assigned to unused var, bug248. [Ashutosh Das]
|
|
|
|
|
|
|
2010-03-18 01:20:40 +01:00
|
|
|
|
**** Fix "make install" with configure outside srcdir. [Stefan Wallentowitz]
|
|
|
|
|
|
|
2010-04-17 14:01:22 +02:00
|
|
|
|
**** Fix loop unroller out of memory; change --unroll-stmts. [Ashutosh Das]
|
|
|
|
|
|
|
2010-03-22 23:38:24 +01:00
|
|
|
|
**** Fix trace files with empty modules crashing some viewers.
|
2010-03-21 02:29:16 +01:00
|
|
|
|
|
2010-04-07 02:20:44 +02:00
|
|
|
|
**** Fix parsing single files > 2GB. [Jeffrey Short]
|
|
|
|
|
|
|
2010-04-10 02:21:00 +02:00
|
|
|
|
**** Fix installing data files as non-executable, bug168. [by Ahmed El-Mahmoudy]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2010-03-17 12:59:12 +01:00
|
|
|
|
* Verilator 3.801 2010/03/17
|
2010-02-08 15:28:40 +01:00
|
|
|
|
|
2010-02-14 16:01:21 +01:00
|
|
|
|
*** Support "break", "continue", "return".
|
|
|
|
|
|
|
2010-03-17 12:59:12 +01:00
|
|
|
|
*** Support "`default_nettype none|wire". [Dominic Plunkett]
|
2010-02-23 15:27:16 +01:00
|
|
|
|
|
2010-02-09 02:51:43 +01:00
|
|
|
|
**** Skip SystemC tests if not installed. [Iztok Jeras]
|
|
|
|
|
|
|
2010-03-16 23:50:26 +01:00
|
|
|
|
**** Fix clock-gates with non-AND complex logic, bug220. [Ashutosh Das]
|
|
|
|
|
|
|
2010-03-13 02:00:08 +01:00
|
|
|
|
**** Fix flushing VCD buffers on $stop. [Ashutosh Das]
|
|
|
|
|
|
|
2010-02-27 01:50:44 +01:00
|
|
|
|
**** Fix Mac OS-X compile issues, bug217. [Joshua Wise, Trevor Williams]
|
|
|
|
|
|
|
2010-02-08 15:28:40 +01:00
|
|
|
|
**** Fix make uninstall, bug216. [Iztok Jeras]
|
|
|
|
|
|
|
2010-02-21 13:20:39 +01:00
|
|
|
|
**** Fix parametrized defines with empty arguments.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2010-02-07 13:01:13 +01:00
|
|
|
|
* Verilator 3.800 2010/02/07
|
2009-11-03 04:14:11 +01:00
|
|
|
|
|
2010-02-07 01:56:14 +01:00
|
|
|
|
Application visible changes:
|
|
|
|
|
|
|
2010-01-25 00:37:01 +01:00
|
|
|
|
** SystemPerl is no longer required for tracing.
|
|
|
|
|
|
Applications must use VerilatedVcdC class in place of SpTraceVcdC.
|
|
|
|
|
|
|
2010-01-22 03:58:45 +01:00
|
|
|
|
** SystemVerilog 1800-2009 is now the default language.
|
|
|
|
|
|
Thus "global" etc are now keywords. See the --language option.
|
|
|
|
|
|
|
2010-02-07 01:56:14 +01:00
|
|
|
|
New features:
|
|
|
|
|
|
|
2009-11-24 15:11:25 +01:00
|
|
|
|
** Support SystemVerilog types "byte", "chandle", "int", "longint",
|
|
|
|
|
|
"shortint", "time", "var" and "void" in variables and functions.
|
2009-11-03 04:14:11 +01:00
|
|
|
|
|
2009-11-10 01:09:27 +01:00
|
|
|
|
** Support "program", "package", "import" and $unit.
|
2009-11-03 04:50:31 +01:00
|
|
|
|
|
2009-12-27 14:29:55 +01:00
|
|
|
|
** Support typedef and enum. [by Donal Casey]
|
2009-11-05 15:57:23 +01:00
|
|
|
|
|
2009-12-20 14:27:00 +01:00
|
|
|
|
** Support direct programming interface (DPI) "import" and "export".
|
2010-01-08 00:51:52 +01:00
|
|
|
|
Includes an extension to map user $system PLI calls to the DPI.
|
2009-12-03 12:55:29 +01:00
|
|
|
|
|
2010-01-19 16:52:11 +01:00
|
|
|
|
*** Support assignments of multidimensional slices, bug170. [by Byron Bradley]
|
|
|
|
|
|
|
2010-01-19 19:18:40 +01:00
|
|
|
|
*** Support multidimensional inputs/outputs, bug171. [by Byron Bradley]
|
|
|
|
|
|
|
2009-11-10 01:09:27 +01:00
|
|
|
|
*** Support "reg [1:0][1:0][1:0]" and "reg x [3][2]", bug176. [Byron Bradley]
|
2009-11-06 01:26:44 +01:00
|
|
|
|
|
2009-11-10 22:29:58 +01:00
|
|
|
|
*** Support declarations in loop initializers, bug172. [by Byron Bradley]
|
2009-11-07 05:16:06 +01:00
|
|
|
|
|
2009-11-19 23:04:21 +01:00
|
|
|
|
*** Support $test$plusargs and $value$plusargs, but see the docs!
|
|
|
|
|
|
|
2009-11-24 03:24:55 +01:00
|
|
|
|
*** Support $sformat and $swrite.
|
|
|
|
|
|
|
2009-12-21 14:54:39 +01:00
|
|
|
|
*** Support 1800-2009 define defaults and `undefineall.
|
2009-12-21 04:26:48 +01:00
|
|
|
|
|
2010-02-07 01:56:14 +01:00
|
|
|
|
*** Add -CFLAGS, -LDFLAGS, <file>.a, <file>.o, and <file>.so options.
|
|
|
|
|
|
|
|
|
|
|
|
*** Speed compiles by avoiding including the STL iostream header.
|
|
|
|
|
|
Application programs may need to include it themselves to avoid errors.
|
2009-11-08 03:05:02 +01:00
|
|
|
|
|
2010-01-07 22:41:19 +01:00
|
|
|
|
*** Add experimental clock domain crossing checks.
|
|
|
|
|
|
|
2010-01-20 13:15:51 +01:00
|
|
|
|
*** Add experimental --pipe-filter to filter all Verilog input.
|
|
|
|
|
|
|
2010-01-21 12:11:30 +01:00
|
|
|
|
*** Add experimental config files to filter warnings outside of the source.
|
|
|
|
|
|
|
2010-02-07 01:56:14 +01:00
|
|
|
|
*** Add VARHIDDEN warning when signal name hides module name.
|
2010-01-15 03:03:06 +01:00
|
|
|
|
|
2009-11-10 22:29:58 +01:00
|
|
|
|
**** Support optional cell parenthesis, bug179. [by Byron Bradley]
|
|
|
|
|
|
|
2009-11-10 22:40:07 +01:00
|
|
|
|
**** Support for loop i++, ++i, i--, --i, bug175. [by Byron Bradley]
|
|
|
|
|
|
|
2010-01-28 15:41:24 +01:00
|
|
|
|
**** Support 1800-2009 /*comments*/ in define values.
|
|
|
|
|
|
|
2009-12-03 03:15:56 +01:00
|
|
|
|
**** Add Makefile VM_GLOBAL_FAST, listing objects needed to link executables.
|
|
|
|
|
|
|
2009-12-16 17:45:28 +01:00
|
|
|
|
**** Add --bbox-unsup option to black-box unsupported UDP tables.
|
|
|
|
|
|
|
2010-01-08 02:25:15 +01:00
|
|
|
|
**** Add -Wno-MODDUP option to allow duplicate modules.
|
|
|
|
|
|
|
2010-02-07 01:56:14 +01:00
|
|
|
|
Bug fixes:
|
2009-12-09 00:29:24 +01:00
|
|
|
|
|
2010-02-07 01:56:14 +01:00
|
|
|
|
**** Fix implicit variable issues, bug196, bug201. [Byron Bradley]
|
2010-01-11 18:36:12 +01:00
|
|
|
|
|
2010-02-07 01:56:14 +01:00
|
|
|
|
**** Fix 'for' variable typing, bug205. [by Byron Bradley]
|
2010-01-20 01:27:36 +01:00
|
|
|
|
|
2009-12-25 16:01:55 +01:00
|
|
|
|
**** Fix tracing with --pins-bv 1, bug195. [Michael S]
|
|
|
|
|
|
|
2010-01-24 12:20:10 +01:00
|
|
|
|
**** Fix MSVC++ 2008 compile issues, bug209. [Amir Gonnen]
|
|
|
|
|
|
|
2010-02-01 15:28:53 +01:00
|
|
|
|
**** Fix MinGW compilation, bug184, bug214. [by Shankar Giri, Amir Gonnen]
|
2009-11-24 15:11:25 +01:00
|
|
|
|
|
2010-01-16 17:53:08 +01:00
|
|
|
|
**** Fix Cygwin 1.7.x compiler error with uint32_t, bug204. [Ivan Djordjevic]
|
|
|
|
|
|
|
2009-11-23 20:26:04 +01:00
|
|
|
|
**** Fix `define argument mis-replacing system task of same name, bug191.
|
|
|
|
|
|
|
2009-11-10 22:29:58 +01:00
|
|
|
|
**** Fix Verilator core dump on wide integer divides, bug178. [Byron Bradley]
|
2009-11-10 16:44:50 +01:00
|
|
|
|
|
2010-01-09 18:31:58 +01:00
|
|
|
|
**** Fix lint_off/lint_on meta comments on same line as warning.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2009-10-27 01:19:23 +01:00
|
|
|
|
* Verilator 3.720 2009/10/26
|
2009-09-26 15:31:50 +02:00
|
|
|
|
|
2009-10-25 21:53:55 +01:00
|
|
|
|
** Support little endian bit vectors ("reg [0:2] x;").
|
|
|
|
|
|
|
2009-10-27 01:12:09 +01:00
|
|
|
|
** Support division and modulus of > 64 bit vectors. [Gary Thomas]
|
|
|
|
|
|
|
|
|
|
|
|
*** Fix writing to out-of-bounds arrays writing element 0.
|
2009-10-09 02:42:45 +02:00
|
|
|
|
|
2009-10-12 02:50:31 +02:00
|
|
|
|
**** Fix core dump with SystemVerilog var declarations under unnamed begins.
|
|
|
|
|
|
|
2009-09-26 15:31:50 +02:00
|
|
|
|
**** Fix VCD files showing internal flattened hierarchy, broke in 3.714.
|
|
|
|
|
|
|
2009-10-10 04:55:37 +02:00
|
|
|
|
**** Fix cell port connection to unsized integer causing false width warning.
|
|
|
|
|
|
|
2009-10-23 03:46:49 +02:00
|
|
|
|
**** Fix erroring on strings with backslashed newlines, bug168. [Pete Nixon]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2009-09-19 04:37:53 +02:00
|
|
|
|
* Verilator 3.714 2009/09/18
|
2009-09-07 21:54:12 +02:00
|
|
|
|
|
2009-09-16 15:28:09 +02:00
|
|
|
|
** Add --bbox-sys option to blackbox $system calls.
|
|
|
|
|
|
|
2009-09-16 16:32:14 +02:00
|
|
|
|
** Support generate for var++, var--, ++var, --var.
|
|
|
|
|
|
|
2009-09-07 21:54:12 +02:00
|
|
|
|
*** Improved warning when "do" used as identifier.
|
|
|
|
|
|
|
2009-09-18 03:31:03 +02:00
|
|
|
|
**** Don't require SYSTEMPERL_INCLUDE if SYSTEMPERL/src exists. [Gary Thomas]
|
|
|
|
|
|
|
2009-09-18 04:23:18 +02:00
|
|
|
|
**** Fix deep defines causing flex scanner overflows. [Brad Dobbie]
|
|
|
|
|
|
|
2009-09-18 04:00:17 +02:00
|
|
|
|
**** Fix preprocessing commas in deep parameterized macros. [Brad Dobbie]
|
|
|
|
|
|
|
2009-09-12 01:25:42 +02:00
|
|
|
|
**** Fix tracing escaped dotted identifiers, bug107.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fix $display with uppercase %M.
|
2009-09-07 21:55:54 +02:00
|
|
|
|
|
2009-09-16 00:11:21 +02:00
|
|
|
|
**** Fix --error-limit option being ignored.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2009-08-04 21:23:23 +02:00
|
|
|
|
* Verilator 3.713 2009/08/04
|
2009-07-16 15:19:15 +02:00
|
|
|
|
|
2009-07-17 20:13:11 +02:00
|
|
|
|
** Support constant function calls for parameters. [many!]
|
|
|
|
|
|
|
2009-07-16 15:19:15 +02:00
|
|
|
|
*** Support SystemVerilog "logic", bug101. [by Alex Duller]
|
|
|
|
|
|
|
2009-07-22 21:21:41 +02:00
|
|
|
|
*** Name SYMRSVDWORD error, and allow disabling it, bug103. [Gary Thomas]
|
|
|
|
|
|
|
2009-07-31 18:02:43 +02:00
|
|
|
|
**** Fix escaped preprocessor identifiers, bug106. [Nimrod Gileadi]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2009-07-14 14:42:01 +02:00
|
|
|
|
* Verilator 3.712 2009/07/14
|
2009-07-07 23:51:00 +02:00
|
|
|
|
|
2009-07-09 23:39:24 +02:00
|
|
|
|
** Patching SystemC is no longer required to trace sc_bvs.
|
2009-06-24 23:24:42 +02:00
|
|
|
|
|
2009-07-09 23:39:24 +02:00
|
|
|
|
*** Support zero-width constants in concatenations. [Jeff Winston]
|
2009-06-30 17:54:07 +02:00
|
|
|
|
|
2009-07-09 23:39:24 +02:00
|
|
|
|
*** Add verilator --pins-uint8 option to use sc_in<uint8_t/uint16_t>.
|
2009-06-29 15:21:21 +02:00
|
|
|
|
|
2009-07-09 23:39:24 +02:00
|
|
|
|
*** Add verilator -V option, to show verbose version.
|
|
|
|
|
|
|
|
|
|
|
|
*** On WIDTH warnings, show variable name causing error. [Jeff Winston]
|
2009-06-26 01:53:26 +02:00
|
|
|
|
|
2009-06-24 23:24:42 +02:00
|
|
|
|
**** Add BLKLOOPINIT error code, and describe --unroll-count. [Jeff Winston]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2009-06-23 20:09:38 +02:00
|
|
|
|
* Verilator 3.711 2009/06/23
|
2009-06-08 21:59:33 +02:00
|
|
|
|
|
2009-06-12 14:27:48 +02:00
|
|
|
|
**** Support decimal constants of arbitrary widths. [Mark Marshall]
|
|
|
|
|
|
|
2009-06-23 20:09:38 +02:00
|
|
|
|
**** Fix error on case statement with all duplicate items, bug99. [Gary Thomas]
|
2009-06-23 00:49:20 +02:00
|
|
|
|
|
2009-06-08 21:59:33 +02:00
|
|
|
|
**** Fix segfault on unrolling for's with bad inits, bug90. [Andreas Olofsson]
|
|
|
|
|
|
|
2009-06-09 21:37:52 +02:00
|
|
|
|
**** Fix tristates causing "Assigned pin is neither...". [by Lane Brooks]
|
|
|
|
|
|
|
2009-06-12 15:56:46 +02:00
|
|
|
|
**** Fix compiler errors under Fedora release candidate 11. [Chitlesh Goorah]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2009-05-19 13:50:56 +02:00
|
|
|
|
* Verilator 3.710 2009/05/19
|
2009-05-04 23:07:57 +02:00
|
|
|
|
|
|
|
|
|
|
** Verilator is now licensed under LGPL v3 and/or Artistic v2.0.
|
|
|
|
|
|
|
2009-05-19 13:15:13 +02:00
|
|
|
|
*** `__FILE__ now expands to a string, per draft SystemVerilog 2010(ish).
|
|
|
|
|
|
|
2009-05-08 19:16:19 +02:00
|
|
|
|
**** The front end parser has been re-factored to enable more SV parsing.
|
2009-05-19 13:15:13 +02:00
|
|
|
|
Code should parse the same, but minor parsing bugs may pop up.
|
2009-05-08 19:16:19 +02:00
|
|
|
|
|
2009-05-08 21:05:12 +02:00
|
|
|
|
**** Verilator_includer is no longer installed twice, bug48. [Lane Brooks]
|
|
|
|
|
|
|
2009-05-08 19:16:19 +02:00
|
|
|
|
**** Fix escaped identifiers with '.' causing conflicts, bug83. [J Baxter]
|
|
|
|
|
|
|
2009-05-11 17:57:43 +02:00
|
|
|
|
**** Fix define formal arguments that contain newlines, bug84. [David A]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2009-05-02 13:38:24 +02:00
|
|
|
|
* Verilator 3.703 2009/05/02
|
2009-04-07 19:23:25 +02:00
|
|
|
|
|
2009-05-02 13:38:24 +02:00
|
|
|
|
*** Fix $clog2 calculation error with powers-of-2, bug81. [Patricio Kaplan]
|
2009-05-02 04:18:32 +02:00
|
|
|
|
|
2009-04-08 20:33:12 +02:00
|
|
|
|
**** Fix error with tasks that have output first, bug78. [Andrea Foletto]
|
|
|
|
|
|
|
2009-04-07 19:23:25 +02:00
|
|
|
|
**** Fix "cloning" error with -y/--top-module, bug76. [Dimitris Nalbantis]
|
|
|
|
|
|
|
2009-04-24 16:32:11 +02:00
|
|
|
|
**** Fix segfault with error on bad --top-module, bug79. [Dimitris Nalbantis]
|
|
|
|
|
|
|
2009-04-28 13:19:50 +02:00
|
|
|
|
**** Fix "redefining I" error with complex includes. [Duraid Madina]
|
|
|
|
|
|
|
2009-04-23 15:16:25 +02:00
|
|
|
|
**** Fix GCC 4.3.2 compile warnings.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2009-03-28 15:30:35 +01:00
|
|
|
|
* Verilator 3.702 2009/03/28
|
2009-03-12 19:07:38 +01:00
|
|
|
|
|
2009-03-13 19:17:30 +01:00
|
|
|
|
*** Add --pins-bv option to use sc_bv for all ports. [Brian Small]
|
|
|
|
|
|
|
2009-03-28 15:18:53 +01:00
|
|
|
|
*** Add SYSTEMPERL_INCLUDE envvar to assist RPM builds. [Chitlesh Goorah]
|
|
|
|
|
|
|
2009-03-23 19:57:15 +01:00
|
|
|
|
**** Report errors when duplicate labels are used, bug72. [Vasu Kandadi]
|
|
|
|
|
|
|
2009-03-12 19:07:38 +01:00
|
|
|
|
**** Fix the SC_MODULE name() to not include __PVT__. [Bob Fredieu]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2009-02-26 13:44:00 +01:00
|
|
|
|
* Verilator 3.701 2009/02/26
|
2009-01-09 17:28:50 +01:00
|
|
|
|
|
2009-02-26 04:06:59 +01:00
|
|
|
|
** Support repeat and forever statements. [Jeremy Bennett]
|
|
|
|
|
|
|
2009-01-25 03:35:08 +01:00
|
|
|
|
*** Add --debugi-<srcfile> option, for internal debugging. [Dennis Muhlestein]
|
2009-01-21 22:56:50 +01:00
|
|
|
|
|
2009-01-09 17:28:50 +01:00
|
|
|
|
**** Fix compile issues with GCC 4.3, bug47. [Lane Brooks]
|
|
|
|
|
|
|
2009-01-21 19:52:51 +01:00
|
|
|
|
**** Fix VL_RANDom to better randomize bits. [Art Stamness]
|
|
|
|
|
|
|
2009-01-26 13:57:59 +01:00
|
|
|
|
**** Fix error messages to consistently go to stderr. [Jeremy Bennett]
|
|
|
|
|
|
|
2009-02-08 02:54:09 +01:00
|
|
|
|
**** Fix left associativity for ?: operators.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2009-01-08 16:52:37 +01:00
|
|
|
|
* Verilator 3.700 2009/01/08
|
2008-11-13 02:54:58 +01:00
|
|
|
|
|
2009-01-21 22:56:50 +01:00
|
|
|
|
** Add limited support for tristate inouts. Written by Lane Brooks,
|
|
|
|
|
|
under support by Ubixum Inc. This allows common pad ring and
|
|
|
|
|
|
tristate-mux structures to be Verilated. See the documentation for
|
|
|
|
|
|
more information on supported constructs.
|
2009-01-06 17:03:57 +01:00
|
|
|
|
|
2008-12-12 21:34:02 +01:00
|
|
|
|
** Add --coverage_toggle for toggle coverage analysis.
|
2008-12-12 22:04:56 +01:00
|
|
|
|
Running coverage now requires SystemPerl 1.301 or newer.
|
2008-12-12 21:34:02 +01:00
|
|
|
|
|
|
|
|
|
|
*** Add /*verilator coverage_on/_off */ to bracket coverage regions.
|
2008-12-10 23:10:03 +01:00
|
|
|
|
|
2008-11-17 17:36:01 +01:00
|
|
|
|
*** Optimize two-level shift and and/or trees, +23% on one test.
|
|
|
|
|
|
|
2008-11-25 13:57:02 +01:00
|
|
|
|
*** Support posedge of bit-selected signals, bug45. [Rodney Sinclair]
|
|
|
|
|
|
|
2008-12-05 16:54:14 +01:00
|
|
|
|
*** Line coverage now aggregates by hierarchy automatically.
|
|
|
|
|
|
Previously this would be done inside SystemPerl, which was slower.
|
|
|
|
|
|
|
2008-11-25 13:57:02 +01:00
|
|
|
|
**** Minor performance improvements of Verilator compiler runtime.
|
2008-11-23 03:10:20 +01:00
|
|
|
|
|
2009-01-05 20:16:09 +01:00
|
|
|
|
**** Coverage of each parametarized module is counted separately. [Bob Fredieu]
|
|
|
|
|
|
|
2009-01-08 15:22:31 +01:00
|
|
|
|
**** Fix creating parameterized modules when no parameter values are changed.
|
|
|
|
|
|
|
2008-12-10 02:59:22 +01:00
|
|
|
|
**** Fix certain generate-if cells causing "clone" error. [Stephane Laurent]
|
|
|
|
|
|
|
2008-12-05 16:54:14 +01:00
|
|
|
|
**** Fix line coverage of public functions. [Soon Koh]
|
|
|
|
|
|
|
2008-12-03 16:11:28 +01:00
|
|
|
|
**** Fix SystemC 2.2 deprecated warnings about sensitive() and sc_start().
|
|
|
|
|
|
|
2008-11-19 15:43:03 +01:00
|
|
|
|
**** Fix arrayed variables under function not compiling, bug44. [Ralf Karge]
|
|
|
|
|
|
|
2008-11-17 23:13:57 +01:00
|
|
|
|
**** Fix --output-split-cfuncs to also split trace code. [Niranjan Prabhu]
|
|
|
|
|
|
|
2008-11-13 02:54:58 +01:00
|
|
|
|
**** Fix 'bad select range' warning missing some cases, bug43. [Lane Brooks]
|
|
|
|
|
|
|
2008-11-18 03:02:10 +01:00
|
|
|
|
**** Fix internal signal names containing control characters (broke in 3.680).
|
|
|
|
|
|
|
2008-12-22 17:28:42 +01:00
|
|
|
|
**** Fix compile error on Ubuntu 8.10. [Christopher Boumenot]
|
|
|
|
|
|
|
2008-12-30 20:34:01 +01:00
|
|
|
|
**** Fix internal error on "output x; reg x = y;".
|
|
|
|
|
|
|
2008-12-30 23:11:25 +01:00
|
|
|
|
**** Fix wrong result for read of delayed FSM signal, bug46. [Rodney Sinclair]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-11-12 21:32:22 +01:00
|
|
|
|
* Verilator 3.681 2008/11/12
|
2008-10-11 01:02:27 +02:00
|
|
|
|
|
|
|
|
|
|
*** Add SystemVerilog unique and priority case.
|
|
|
|
|
|
|
2008-10-29 02:09:45 +01:00
|
|
|
|
**** Include Verilog file's directory name in coverage reports.
|
|
|
|
|
|
|
2008-10-29 02:38:01 +01:00
|
|
|
|
**** Fix 'for' under 'generate-for' causing error; bug38. [Rafael Shirakawa]
|
|
|
|
|
|
|
2008-11-04 23:19:59 +01:00
|
|
|
|
**** Fix coverage hierarchy being backwards with inlining. [Vasu Arasanipalai]
|
|
|
|
|
|
|
2008-10-29 02:09:45 +01:00
|
|
|
|
**** Fix GCC 4.3 compile error; bug35. [Lane Brooks]
|
|
|
|
|
|
|
2008-11-05 16:52:23 +01:00
|
|
|
|
**** Fix MSVC compile error; bug42. [John Stroebel]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-10-08 23:08:47 +02:00
|
|
|
|
* Verilator 3.680 2008/10/08
|
2008-09-23 01:36:08 +02:00
|
|
|
|
|
2008-10-06 15:59:22 +02:00
|
|
|
|
** Support negative bit indexes. [Stephane Laurent]
|
|
|
|
|
|
Tracing negative indexes requires latest Verilog-Perl and SystemPerl.
|
|
|
|
|
|
|
2008-09-23 01:36:08 +02:00
|
|
|
|
*** Suppress width warnings between constant strings and wider vectors.
|
|
|
|
|
|
[Rodney Sinclair]
|
|
|
|
|
|
|
2008-10-14 20:49:54 +02:00
|
|
|
|
**** Ignore SystemVerilog timeunit and timeprecision.
|
|
|
|
|
|
|
2008-09-29 21:51:45 +02:00
|
|
|
|
**** Expand environment variables in -f input files. [Lawrence Butcher]
|
|
|
|
|
|
|
2008-09-23 15:35:00 +02:00
|
|
|
|
**** Report error if port declaration is missing; bug32. [Guy-Armand Kamendje]
|
|
|
|
|
|
|
2008-09-30 14:58:07 +02:00
|
|
|
|
**** Fix genvars causing link error when using --public. [Chris Candler]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-09-19 23:23:15 +02:00
|
|
|
|
* Verilator 3.671 2008/09/19
|
2008-08-01 21:30:17 +02:00
|
|
|
|
|
2008-09-18 15:20:16 +02:00
|
|
|
|
** SystemC uint64_t pins are now the default instead of sc_bv<64>.
|
|
|
|
|
|
Use --no-pins64 for backward compatibility.
|
|
|
|
|
|
|
2008-08-06 18:52:39 +02:00
|
|
|
|
*** Support SystemVerilog "cover property" statements.
|
|
|
|
|
|
|
2008-08-01 21:30:17 +02:00
|
|
|
|
*** When warnings are disabled on signals that are flattened out, disable
|
|
|
|
|
|
the warnings on the signal(s) that replace it.
|
|
|
|
|
|
|
2008-08-05 15:59:15 +02:00
|
|
|
|
*** Add by-design and by-module subtotals to verilator_profcfunc.
|
|
|
|
|
|
|
2008-08-06 23:09:33 +02:00
|
|
|
|
*** Fix extra evaluation of pure combo blocks in SystemC output.
|
|
|
|
|
|
|
2008-08-05 19:41:53 +02:00
|
|
|
|
**** Add IMPERFECTSCH warning, disabled by default.
|
|
|
|
|
|
|
2008-09-04 15:43:53 +02:00
|
|
|
|
**** Support coverage under SystemPerl 1.285 and newer.
|
|
|
|
|
|
|
2008-08-20 21:59:10 +02:00
|
|
|
|
**** Fix stack overflow on large ? : trees. [John Sanguinetti]
|
|
|
|
|
|
|
2008-09-18 04:22:46 +02:00
|
|
|
|
**** Support arbitrary characters in identifiers. [Stephane Laurent]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-07-23 13:51:32 +02:00
|
|
|
|
* Verilator 3.670 2008/07/23
|
2008-06-26 14:52:02 +02:00
|
|
|
|
|
2008-07-16 19:31:21 +02:00
|
|
|
|
** Add --x-assign=fast option, and make it the default.
|
|
|
|
|
|
This chooses performance over reset debugging. See the manual.
|
|
|
|
|
|
|
2008-07-16 20:06:08 +02:00
|
|
|
|
** Add --autoflush, for flushing streams after $display. [Steve Tong]
|
|
|
|
|
|
|
2008-07-23 14:58:48 +02:00
|
|
|
|
** Add CASEWITHX lint warning and if disabled fix handling of casez with Xs.
|
2008-07-22 19:07:19 +02:00
|
|
|
|
|
2008-07-01 20:15:10 +02:00
|
|
|
|
*** Add $feof, $fgetc, $fgets, $fflush, $fscanf, $sscanf. [Holger Waechtler]
|
2008-06-26 14:52:02 +02:00
|
|
|
|
|
2008-07-14 19:16:05 +02:00
|
|
|
|
*** Add $stime. [Holger Waechtler]
|
|
|
|
|
|
|
2008-07-01 20:15:10 +02:00
|
|
|
|
*** Add $random.
|
2008-06-27 17:36:25 +02:00
|
|
|
|
|
2008-07-22 20:27:34 +02:00
|
|
|
|
*** Add --Wfuture-, for improving forward compatibility.
|
|
|
|
|
|
|
2008-07-01 22:10:09 +02:00
|
|
|
|
**** Fix verilator_includer not being installed properly. [Holger Waechtler]
|
|
|
|
|
|
|
2008-07-14 16:42:58 +02:00
|
|
|
|
**** Fix IMPURE errors due to X-assignment temporary variables. [Steve Tong]
|
|
|
|
|
|
|
2008-07-22 17:15:28 +02:00
|
|
|
|
**** Fix "lvalue" errors with public functions; bug25. [CY Wang]
|
|
|
|
|
|
|
2008-07-14 23:15:26 +02:00
|
|
|
|
**** Add WIDTH warning to $fopen etc file descriptors.
|
|
|
|
|
|
|
2008-06-30 20:31:58 +02:00
|
|
|
|
**** Internal changes to how $displays get compiled and executed.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-06-25 13:50:32 +02:00
|
|
|
|
* Verilator 3.665 2008/06/25
|
2008-05-28 21:58:18 +02:00
|
|
|
|
|
2008-06-11 21:13:41 +02:00
|
|
|
|
**** Ignore "// verilator" comments alone on endif lines. [Rodney Sinclair]
|
|
|
|
|
|
|
|
|
|
|
|
**** "Make install" now installs verilator_includer and verilator_profcfunc.
|
|
|
|
|
|
|
2008-06-12 02:33:53 +02:00
|
|
|
|
**** Fix tracing missing changes on undriven public wires. [Rodney Sinclair]
|
|
|
|
|
|
|
2008-06-04 16:45:32 +02:00
|
|
|
|
**** Fix syntax error when "`include `defname" is ifdefed. [John Dickol]
|
|
|
|
|
|
|
2008-06-04 17:39:44 +02:00
|
|
|
|
**** Fix error when macro call has commas in concatenate. [John Dickol]
|
|
|
|
|
|
|
2008-05-28 21:58:18 +02:00
|
|
|
|
**** Fix compile errors under Fedora 9, GCC 4.3.0. [by Jeremy Bennett]
|
|
|
|
|
|
|
2008-06-26 14:52:02 +02:00
|
|
|
|
**** Fix Makefile to find headers/libraries under prefix. [by Holger Waechtler]
|
2008-06-24 20:50:34 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-05-08 18:37:19 +02:00
|
|
|
|
* Verilator 3.664 2008/05/08
|
2008-05-08 16:38:43 +02:00
|
|
|
|
|
|
|
|
|
|
**** Fix missing file in kit.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-05-07 20:27:23 +02:00
|
|
|
|
* Verilator 3.663 2008/05/07
|
2008-04-29 16:14:20 +02:00
|
|
|
|
|
2008-05-07 19:46:33 +02:00
|
|
|
|
**** Add DESTDIR to Makefiles to assist RPM construction. [Gunter Dannoritzer]
|
|
|
|
|
|
|
2008-04-29 16:14:20 +02:00
|
|
|
|
**** Fix compiler warnings under GCC 4.2.1.
|
|
|
|
|
|
|
2008-05-06 02:50:47 +02:00
|
|
|
|
**** Fix preprocessor `else after series of `elsif. [Mark Nodine]
|
|
|
|
|
|
|
2008-05-06 03:11:21 +02:00
|
|
|
|
**** Fix parametrized defines calling define with comma. [Joshua Wise]
|
|
|
|
|
|
|
2008-05-06 16:52:53 +02:00
|
|
|
|
**** Fix comma separated list of primitives. [by Bryan Brady]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-04-25 14:17:12 +02:00
|
|
|
|
* Verilator 3.662 2008/04/25
|
2008-04-09 15:56:40 +02:00
|
|
|
|
|
2008-04-24 15:52:51 +02:00
|
|
|
|
*** Add Verilog 2005 $clog2() function.
|
2008-04-25 14:17:12 +02:00
|
|
|
|
This is useful in calculating bus-widths from parameters.
|
2008-04-24 15:52:51 +02:00
|
|
|
|
|
2008-04-25 14:17:12 +02:00
|
|
|
|
*** Support /**/ comments in -f option files. [Stefan Thiede]
|
2008-04-09 15:56:40 +02:00
|
|
|
|
|
2008-04-25 14:17:12 +02:00
|
|
|
|
**** Add error message when modules have duplicate names. [Stefan Thiede]
|
2008-04-15 21:12:25 +02:00
|
|
|
|
|
2008-04-25 14:17:12 +02:00
|
|
|
|
**** Support defines terminated in EOF, though against spec. [Stefan Thiede]
|
2008-04-24 17:04:01 +02:00
|
|
|
|
|
2008-04-25 14:17:12 +02:00
|
|
|
|
**** Support optional argument to $finish and $stop. [by Stefan Thiede]
|
2008-04-24 16:32:39 +02:00
|
|
|
|
|
2008-04-25 14:17:12 +02:00
|
|
|
|
**** Support ranges on gate primitive instantiations. [Stefan Thiede]
|
|
|
|
|
|
|
|
|
|
|
|
**** Ignore old standard(ish) Verilog-XL defines. [by Stefan Thiede]
|
2008-04-24 17:36:46 +02:00
|
|
|
|
|
2008-04-14 23:47:39 +02:00
|
|
|
|
**** Fix "always @ ((a) or (b))" syntax error. [by Niranjan Prabhu]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fix "output reg name=expr;" syntax error. [Martin Scharrer]
|
2008-04-14 23:10:34 +02:00
|
|
|
|
|
2008-04-09 16:17:03 +02:00
|
|
|
|
**** Fix multiple .v files being read in random order. [Stefan Thiede]
|
|
|
|
|
|
|
2008-04-17 17:47:16 +02:00
|
|
|
|
**** Fix internal error when params get non-constants. [Johan Wouters]
|
|
|
|
|
|
|
2008-04-25 16:01:50 +02:00
|
|
|
|
**** Fix bug introduced in 3.661 with parametrized defines.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-04-04 20:30:51 +02:00
|
|
|
|
* Verilator 3.661 2008/04/04
|
2008-03-25 14:42:48 +01:00
|
|
|
|
|
2008-03-28 20:42:14 +01:00
|
|
|
|
*** The --enable-defenv configure option added in 3.660 is now the default.
|
|
|
|
|
|
This hard-codes a default for VERILATOR_ROOT etc in the executables.
|
|
|
|
|
|
|
2008-03-28 21:41:21 +01:00
|
|
|
|
*** Add --language option for supporting older code. [Stefan Thiede]
|
|
|
|
|
|
|
2008-03-26 15:58:30 +01:00
|
|
|
|
*** Add --top-module option to select between multiple tops. [Stefan Thiede]
|
|
|
|
|
|
|
2008-04-02 14:53:53 +02:00
|
|
|
|
*** Unsized concatenates now give WIDTHCONCAT warnings. [Jonathan Kimmitt]
|
|
|
|
|
|
Previously they threw fatal errors, which in most cases is correct
|
|
|
|
|
|
according to spec, but can be incorrect in presence of parameter values.
|
|
|
|
|
|
|
2008-04-04 20:29:33 +02:00
|
|
|
|
**** Support functions with "input integer". [Johan Wouters]
|
|
|
|
|
|
|
2008-04-01 21:26:06 +02:00
|
|
|
|
**** Ignore delays attached to gate UDPs. [Stefan Thiede]
|
|
|
|
|
|
|
2008-03-27 14:21:49 +01:00
|
|
|
|
**** Fix SystemVerilog parameterized defines with `` expansion,
|
|
|
|
|
|
and fix extra whitespace inserted on substitution. [Vladimir Matveyenko]
|
|
|
|
|
|
|
2008-03-25 14:42:48 +01:00
|
|
|
|
**** Fix no-module include files on command line. [Stefan Thiede]
|
|
|
|
|
|
|
2008-03-26 15:58:30 +01:00
|
|
|
|
**** Fix dropping of backslash quoted-quote at end of $display.
|
2008-03-25 20:57:41 +01:00
|
|
|
|
|
2008-03-28 22:55:23 +01:00
|
|
|
|
**** Fix task output pin connected to non-variables. [Jonathan Kimmitt]
|
|
|
|
|
|
|
2008-03-28 20:42:14 +01:00
|
|
|
|
**** Fix missing test_v in install datadir. [Holger Waechtler]
|
|
|
|
|
|
|
2008-03-31 16:09:52 +02:00
|
|
|
|
**** Fix internal error after MSB < LSB error reported to user. [Stefan Thiede]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-03-23 14:58:27 +01:00
|
|
|
|
* Verilator 3.660 2008/03/23
|
2008-03-17 21:58:43 +01:00
|
|
|
|
|
2008-03-18 21:26:37 +01:00
|
|
|
|
*** Add support for hard-coding VERILATOR_ROOT etc in the executables,
|
|
|
|
|
|
to enable easier use of Verilator RPMs. [Gunter Dannoritzer]
|
|
|
|
|
|
|
2008-03-19 15:22:05 +01:00
|
|
|
|
*** Allow multiple .v files on command line. [Stefan Thiede]
|
|
|
|
|
|
|
2008-03-19 16:05:08 +01:00
|
|
|
|
*** Convert re-defining macro error to warning. [Stefan Thiede]
|
|
|
|
|
|
|
2008-03-20 01:14:26 +01:00
|
|
|
|
*** Add --error-limit option. [Stefan Thiede]
|
|
|
|
|
|
|
2008-03-20 02:16:33 +01:00
|
|
|
|
*** Allow __ in cell names by quoting them in C. [Stefan Thiede]
|
|
|
|
|
|
|
2008-03-17 21:58:43 +01:00
|
|
|
|
**** Fix genvar to be signed, so "< 0" works properly. [Niranjan Prabhu]
|
|
|
|
|
|
|
2008-03-18 13:41:59 +01:00
|
|
|
|
**** Fix assignments to inputs inside functions/tasks. [Patricio Kaplan]
|
|
|
|
|
|
|
2008-03-19 01:44:54 +01:00
|
|
|
|
**** Fix definitions in main file.v, referenced in library. [Stefan Thiede]
|
|
|
|
|
|
|
2008-03-20 02:40:22 +01:00
|
|
|
|
**** Fix undefined assigns to be implicit warnings. [Stefan Thiede]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-02-25 14:55:06 +01:00
|
|
|
|
* Verilator 3.658 2008/02/25
|
|
|
|
|
|
|
|
|
|
|
|
**** Fix unistd compile error in 3.657. [Patricio Kaplan, Jonathan Kimmitt]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-02-20 17:55:43 +01:00
|
|
|
|
* Verilator 3.657 2008/02/20
|
2008-01-31 15:49:27 +01:00
|
|
|
|
|
2008-02-20 17:54:41 +01:00
|
|
|
|
**** Fix assignments of {a,b,c} = {c,b,a}. [Jonathan Kimmitt]
|
|
|
|
|
|
|
2008-01-31 15:49:27 +01:00
|
|
|
|
**** Fix Perl warning with --lint-only. [by Ding Xiaoliang]
|
|
|
|
|
|
|
|
|
|
|
|
**** Avoid creating obj_dir with --lint-only. [Ding Xiaoliang]
|
|
|
|
|
|
|
2008-02-14 03:08:10 +01:00
|
|
|
|
**** Fix parsing of always @(*). [Patricio Kaplan]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-18 22:45:16 +01:00
|
|
|
|
* Verilator 3.656 2008/01/18
|
2007-11-30 23:38:21 +01:00
|
|
|
|
|
|
|
|
|
|
**** Wide VL_CONST_W_#X functions are now made automatically. [Bernard Deadman]
|
|
|
|
|
|
In such cases, a new {prefix}__Inlines.h file will be built and included.
|
|
|
|
|
|
|
2008-01-15 16:00:10 +01:00
|
|
|
|
**** Fixed sign error when extracting from signed memory. [Peter Debacker]
|
|
|
|
|
|
|
2008-01-15 19:36:47 +01:00
|
|
|
|
**** Fixed tracing of SystemC w/o SystemPerl. [Bernard Deadman, Johan Wouters]
|
2007-12-13 14:54:04 +01:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.655 2007/11/27
|
2007-10-30 23:58:47 +01:00
|
|
|
|
|
2007-10-31 21:29:07 +01:00
|
|
|
|
*** Support "#delay <statement>;" with associated STMTDLY warning.
|
|
|
|
|
|
|
2007-11-05 15:09:22 +01:00
|
|
|
|
**** Fixed generate for loops with constant zero conditions. [Rodney Sinclair]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed divide-by-zero errors in constant propagator. [Rodney Sinclair]
|
2007-10-30 23:58:47 +01:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed wrong result with obscure signed-shift underneath a "? :".
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed many internal memory leaks, and added leak detector.
|
2007-10-31 20:22:26 +01:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.654 2007/10/18
|
2007-08-23 15:21:58 +02:00
|
|
|
|
|
|
|
|
|
|
**** Don't exit early if many warnings but no errors are found. [Stan Mayer]
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed parsing module #(parameter x,y) declarations. [Oleg Rodionov]
|
2007-09-11 15:35:02 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed parsing system functions with empty parens. [Oleg Rodionov]
|
2007-09-17 19:54:02 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.653 2007/8/1
|
2007-07-18 17:01:39 +02:00
|
|
|
|
|
|
|
|
|
|
**** Support SystemVerilog ==? and !=? operators.
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed SC_LIBS missing from generated makefiles. [Ding Xiaoliang]
|
2007-07-31 16:47:21 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.652 2007/6/21
|
2007-06-12 15:58:56 +02:00
|
|
|
|
|
2007-06-12 21:39:10 +02:00
|
|
|
|
**** Report as many warning types as possible before exiting.
|
|
|
|
|
|
|
2007-06-12 15:58:56 +02:00
|
|
|
|
**** Support V2K portlists with "input a,b,...". [Mark Nodine]
|
|
|
|
|
|
|
2007-06-20 01:43:14 +02:00
|
|
|
|
**** Support V2K function/task argument lists.
|
|
|
|
|
|
|
2007-06-14 20:34:08 +02:00
|
|
|
|
**** Optimize constant $display arguments.
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed Preprocessor dropping some `line directives. [Mark Nodine]
|
2007-06-13 19:34:09 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.651 2007/5/22
|
2007-04-24 19:03:52 +02:00
|
|
|
|
|
2007-05-18 20:48:22 +02:00
|
|
|
|
*** Added verilator_profcfunc utility. [Gene Weber]
|
|
|
|
|
|
|
2007-05-16 14:55:25 +02:00
|
|
|
|
*** Treat modules within `celldefine and `endcelldefine as if in library.
|
|
|
|
|
|
|
2007-05-16 20:19:23 +02:00
|
|
|
|
*** Support functions which return integers. [Mark Nodine]
|
|
|
|
|
|
|
2007-04-24 19:03:52 +02:00
|
|
|
|
**** Warn if flex is not installed. [Ralf Karge]
|
|
|
|
|
|
|
2007-05-18 16:03:50 +02:00
|
|
|
|
**** Ignore `protect and `endprotect.
|
|
|
|
|
|
|
|
|
|
|
|
**** Allow empty case/endcase blocks.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.650 2007/4/20
|
2007-04-19 20:20:16 +02:00
|
|
|
|
|
|
|
|
|
|
** Add --compiler msvc option. This is now required when Verilated code
|
2007-04-19 20:39:47 +02:00
|
|
|
|
is to be run through MSVC++. This also enables fixing MSVC++ error
|
|
|
|
|
|
C1061, blocks nested too deeply. [Ralf Karge]
|
2007-03-13 18:15:00 +01:00
|
|
|
|
|
2007-04-19 20:39:47 +02:00
|
|
|
|
** Add --lint-only option, to lint without creating other output.
|
2007-04-18 20:26:38 +02:00
|
|
|
|
|
2007-04-19 16:21:37 +02:00
|
|
|
|
*** Add /*verilator lint_save*/ and /*verilator lint_restore*/ to allow
|
2007-04-19 20:39:47 +02:00
|
|
|
|
friendly control over re-enabling lint messages. [Gerald Williams]
|
2007-04-19 16:21:37 +02:00
|
|
|
|
|
2007-03-14 14:06:08 +01:00
|
|
|
|
*** Support SystemVerilog .name and .* interconnect.
|
|
|
|
|
|
|
2007-03-13 19:21:23 +01:00
|
|
|
|
*** Support while and do-while loops.
|
|
|
|
|
|
|
2007-04-19 20:39:47 +02:00
|
|
|
|
*** Use $(LINK) instead of $(CXX) for Makefile link rules. [Gerald Williams]
|
|
|
|
|
|
|
|
|
|
|
|
*** Add USER_CPPFLAGS and USER_LDFLAGS to Makefiles. [Gerald Williams]
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed compile errors under Windows MINGW compiler. [Gerald Williams]
|
2007-04-18 19:58:59 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed dotted bit reference to local memory. [Eugene Weber]
|
2007-03-13 18:15:00 +01:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed 3.640 `verilog forcing IEEE 1364-1995 only. [David Hewson]
|
2007-03-16 19:44:17 +01:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.640 2007/3/12
|
2007-02-27 15:30:22 +01:00
|
|
|
|
|
2007-03-05 22:35:49 +01:00
|
|
|
|
*** Support Verilog 2005 `begin_keywords and `end_keywords.
|
|
|
|
|
|
|
2008-01-15 16:00:10 +01:00
|
|
|
|
*** Updated list of SystemVerilog keywords to correspond to IEEE 1800-2005.
|
2007-03-05 21:29:05 +01:00
|
|
|
|
|
2007-03-02 23:24:51 +01:00
|
|
|
|
*** Add /*verilator public_flat*/. [Eugene Weber]
|
|
|
|
|
|
|
2007-02-27 21:00:29 +01:00
|
|
|
|
**** Try all +libext's in the exact order given. [Michael Shinkarovsky]
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed elimination of public signals assigned to constants. [Eugene Weber]
|
2007-03-02 22:14:22 +01:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed internal error when public for loop has empty body. [David Addison]
|
2007-02-27 15:30:22 +01:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed "Loops detected" assertion when model exceeds 4GB. [David Hewson]
|
2007-03-05 14:52:21 +01:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed display %m names inside named blocks.
|
2007-03-06 19:53:24 +01:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.633 2007/2/7
|
2007-01-18 19:31:49 +01:00
|
|
|
|
|
|
|
|
|
|
*** Add --trace-depth option for minimizing VCD file size. [Emerson Suguimoto]
|
|
|
|
|
|
|
2007-02-27 15:30:22 +01:00
|
|
|
|
*** With VL_DEBUG, show wires causing convergence errors. [Mike Shinkarovsky]
|
2007-01-31 22:49:13 +01:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed isolate_assignments when many signals per always. [Mike Shinkarovsky]
|
2007-01-23 19:11:26 +01:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed isolate_assignments across task/func temporaries. [Mike Shinkarovsky]
|
2007-01-26 22:53:03 +01:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed $display's with array select followed by wide AND. [David Hewson]
|
2007-01-31 16:44:36 +01:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.632 2007/1/17
|
2007-01-18 01:51:26 +01:00
|
|
|
|
|
2007-01-18 15:30:45 +01:00
|
|
|
|
*** Add /*verilator isolate_assignments*/ attribute. [Mike Shinkarovsky]
|
2007-01-18 01:51:26 +01:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.631 2007/1/2
|
2006-12-20 21:45:13 +01:00
|
|
|
|
|
2006-12-22 16:06:13 +01:00
|
|
|
|
** Support standard NAME[#] for cells created by arraying or generate for.
|
|
|
|
|
|
This replaces the non-standard name__# syntax used in earlier versions.
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed again dotted references into generate cells. [David Hewson]
|
2006-12-20 21:45:13 +01:00
|
|
|
|
Verilator no longer accepts duplicated variables inside unique
|
|
|
|
|
|
generate blocks as this is illegal according to the specification.
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed $readmem* with filenames < 8 characters. [Emerson Suguimoto]
|
2006-12-21 16:28:32 +01:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.630 2006/12/19
|
2006-12-12 19:25:33 +01:00
|
|
|
|
|
2006-12-19 15:09:57 +01:00
|
|
|
|
** Support $readmemb and $readmemh. [Eugene Weber, Arthur Kahlich]
|
|
|
|
|
|
|
2006-12-18 20:20:45 +01:00
|
|
|
|
*** Fixed configure and compiling under Solaris. [Bob Farrell]
|
|
|
|
|
|
|
2006-12-15 23:28:18 +01:00
|
|
|
|
*** When dotted signal lookup fails, help the user by showing known scopes.
|
|
|
|
|
|
|
2006-12-19 17:26:49 +01:00
|
|
|
|
*** Reduce depth of priority encoded case statements. [Eugene Weber]
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed dotted references inside generated cells. [David Hewson]
|
2006-12-12 19:25:33 +01:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed missed split optimization points underneath other re-split blocks.
|
2006-12-18 19:20:13 +01:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.623 2006/12/05
|
2006-10-25 23:41:32 +02:00
|
|
|
|
|
2006-10-26 03:20:49 +02:00
|
|
|
|
*** Add --output-split-cfuncs for accelerating GCC compile. [Eugene Weber]
|
2006-10-26 03:08:44 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed $signed mis-extending when input has a WIDTH violation. [Eugene Weber]
|
2006-10-25 23:41:32 +02:00
|
|
|
|
|
2006-11-02 20:13:07 +01:00
|
|
|
|
**** Add M32 make variable to support -m32 compiles. [Eugene Weber]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.622 2006/10/17 Stable
|
2006-10-12 16:01:06 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed --skip-identical without --debug, broken in 3.621. [Andy Meier]
|
2006-10-12 16:01:06 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.621 2006/10/11 Beta
|
2006-10-04 17:46:13 +02:00
|
|
|
|
|
2006-10-11 17:41:42 +02:00
|
|
|
|
** Add /*verilator no_inline_task*/ to prevent over-expansion. [Eugene Weber]
|
|
|
|
|
|
|
2006-10-11 17:34:50 +02:00
|
|
|
|
*** Public functions now allow > 64 bit arguments.
|
2006-10-09 15:38:15 +02:00
|
|
|
|
|
2006-10-11 17:34:50 +02:00
|
|
|
|
**** Remove .vpp intermediate files when not under --debug.
|
2006-10-05 16:53:17 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed link error when using --exe with --trace. [Eugene Weber]
|
2006-10-04 17:46:13 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed mis-optimization of wide concats with constants.
|
2006-10-11 17:34:50 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed core dump on printing error when not under --debug. [Allan Cochrane]
|
2006-10-04 20:46:42 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.620 2006/10/04 Stable
|
2006-09-25 17:58:17 +02:00
|
|
|
|
|
2006-09-25 22:40:52 +02:00
|
|
|
|
*** Support simple inout task ports. [Eugene Weber]
|
|
|
|
|
|
|
2006-09-25 17:58:17 +02:00
|
|
|
|
*** Allow overriding Perl, Flex and Bison versions. [by Robert Farrell]
|
|
|
|
|
|
|
2006-09-27 20:00:53 +02:00
|
|
|
|
*** Optimize variables set to constants within basic blocks for ~3%.
|
2006-09-26 17:05:35 +02:00
|
|
|
|
|
2006-09-28 16:37:28 +02:00
|
|
|
|
**** Default make no longer makes the docs; if you edit the documentation.
|
2006-09-25 17:58:17 +02:00
|
|
|
|
sources, run "make info" to get them.
|
|
|
|
|
|
|
2006-09-27 20:00:53 +02:00
|
|
|
|
**** Optimize additional boolean identities (a|a = a, etc.)
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed coredump when dotted cross-ref inside task call. [Eugene Weber]
|
2006-09-30 02:08:09 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed dotted variables in always sensitivity lists. [Allan Cochrane]
|
2006-10-03 15:02:21 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.610 2006/09/20 Stable
|
2006-09-12 02:55:27 +02:00
|
|
|
|
|
2006-09-13 15:18:24 +02:00
|
|
|
|
*** Verilator now works under DJGPP (Pentium GCC). [John Stroebel]
|
|
|
|
|
|
|
2006-09-12 02:55:27 +02:00
|
|
|
|
**** Add default define for VL_PRINTF. [John Stroebel]
|
|
|
|
|
|
|
|
|
|
|
|
**** Removed coverage request variable; see Coverage limitations in docs.
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed DOS carriage returns in multiline defines. [Ralf Karge]
|
2006-09-13 16:38:48 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed printf format warnings on 64-bit linux.
|
2006-09-20 15:24:18 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.602 2006/09/11 Stable
|
2006-09-08 18:16:30 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed function references under top inlined module. [David Hewson]
|
2006-09-08 18:16:30 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.601 2006/09/06 Beta
|
2006-08-29 02:27:04 +02:00
|
|
|
|
|
|
|
|
|
|
*** Added --inhibit-sim flag for environments using old __Vm_inhibitSim.
|
|
|
|
|
|
|
2007-02-27 15:30:22 +01:00
|
|
|
|
*** Added `systemc_dtor for destructor extensions. [Allan Cochrane]
|
2006-08-30 19:27:53 +02:00
|
|
|
|
|
2006-08-31 17:29:15 +02:00
|
|
|
|
*** Added -MP to make phony dependencies, ala GCC's.
|
|
|
|
|
|
|
2006-09-01 16:05:20 +02:00
|
|
|
|
*** Changed how internal functions are invoked to reduce aliasing.
|
|
|
|
|
|
Useful when using GCC's -O2 or -fstrict-aliasing, to gain another ~4%.
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed memory leak when destroying modules. [John Stroebel]
|
2006-09-01 17:31:07 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed coredump when unused modules have unused cells. [David Hewson]
|
2006-08-30 21:50:24 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed 3.600 internal error with arrayed instances. [David Hewson]
|
2006-08-29 02:58:48 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed 3.600 internal error with non-unrolled function loops. [David Hewson]
|
2006-09-05 22:06:23 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed $display %m name not matching Verilog name inside SystemC modules.
|
2006-08-30 03:14:29 +02:00
|
|
|
|
|
2006-09-01 16:05:20 +02:00
|
|
|
|
**** Declare optimized lookup tables as 'static', to reduce D-Cache miss rate.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.600 2006/08/28 Beta
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Support dotted cross-hierarchy variable and task references.
|
|
|
|
|
|
|
|
|
|
|
|
**** Lint for x's in generate case statements.
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed line numbers being off by one when first file starts with newline.
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed naming of generate for blocks to prevent non-inline name conflict.
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed redundant statements remaining after table optimization.
|
2006-08-27 17:07:59 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.542 2006/08/11 Stable
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed extraneous UNSIGNED warning when comparing genvars. [David Hewson]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed extra white space in $display %c. [by David Addison]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** vl_finish and vl_fatal now print via VL_PRINTF rather then cerr/cout.
|
|
|
|
|
|
|
|
|
|
|
|
**** Add VL_CONST_W_24X macro. [Bernard Deadman]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.541 2006/07/05 Beta
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
*** Fixed "// verilator lint_on" not re-enabling warnings. [David Hewson]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
*** Fixed 3.540's multiple memory assignments to same block. [David Hewson]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Add warning on changeDetect to arrayed structures. [David Hewson]
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed non-zero start number for arrayed instantiations. [Jae Hossell]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed GCC 4.0 header file warnings.
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.540 2006/06/27 Beta
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Optimize combo assignments that are used only once, ~5-25% faster.
|
|
|
|
|
|
|
|
|
|
|
|
**** Optimize delayed assignments to memories inside loops, ~0-5% faster.
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed mis-width warning on bit selects of memories. [David Hewson]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed mis-width warning on dead generate-if branches. [Jae Hossell]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.533 2006/06/05 Stable
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Add PDF user manual, verilator.pdf.
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed delayed bit-selected arrayed assignments. [David Hewson]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed execution path to Perl. [Shanshan Xu]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed Bison compile errors in verilog.y. [by Ben Jackson]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.531 2006/05/10 Stable
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Support $c routines which return 64 bit values.
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed `include `DEFINE.
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed Verilator core dump when have empty public function. [David.Hewson]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.530 2006/04/24 Stable
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** $time is now 64 bits. The macro VL_TIME_I is now VL_TIME_Q, but calls
|
|
|
|
|
|
the same sc_time_stamp() function to get the current time.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.523 2006/03/06 Stable
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed error line numbers being off due to multi-line defines. [Mat Zeno]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed GCC sign extending (uint64_t)(a<b). [David Hewson]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed `systemc_imp_header "undefined macro" error.
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.522 2006/02/23 Beta
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Add UNUSED error message, for forward compatibility.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.521 2006/02/14 Beta
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Create new --coverage-line and --coverage-user options. [Peter Holmes]
|
|
|
|
|
|
|
|
|
|
|
|
**** Added SystemVerilog 'x,'z,'0,'1, and new string literals.
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed public module's parent still getting inlined.
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.520 2006/01/14 Stable
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Added support for $fopen, $fclose, $fwrite, $fdisplay.
|
|
|
|
|
|
See documentation, as the file descriptors differ from the standard.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.510 2005/12/17 Stable
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Improve trace-on performance on large multi-clock designs by 2x or more.
|
|
|
|
|
|
This adds a small ~2% performance penalty if traces are compiled in,
|
|
|
|
|
|
but not turned on. For best non-tracing performance, do not use --trace.
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed $'s in specify delays causing bad PLI errors. [Mat Zeno]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed public functions not setting up proper symbol table. [Mat Zeno]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed genvars generating trace compile errors. [Mat Zeno]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed VL_MULS_WWW compile error with MSVC++. [Wim Michiels]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.502 2005/11/30 Stable
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed local non-IO variables in public functions and tasks.
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed bad lifetime optimization when same signal is assigned multiple
|
2006-08-26 13:35:28 +02:00
|
|
|
|
times in both branch of a if. [Danny Ding]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.501 2005/11/16 Stable
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Add --profile-cfuncs for correlating profiles back to Verilog.
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed functions where regs are declared before inputs. [Danny Ding]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed bad deep expressions with bit-selects and rotate. [Prabhat Gupta]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.500 2005/10/30 Stable
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Support signed numbers, >>>, $signed, $unsigned. [MANY!]
|
|
|
|
|
|
|
|
|
|
|
|
** Support multi-dimensional arrays. [Eugen Fekete]
|
|
|
|
|
|
|
|
|
|
|
|
** Add very limited support for the Property Specification Language
|
|
|
|
|
|
(aka PSL or Sugar). The format and keywords are now very limited, but will
|
|
|
|
|
|
grow with future releases. The --assert switch enables this feature.
|
|
|
|
|
|
|
|
|
|
|
|
** With --assert, generate assertions for synthesis parallel_case and full_case.
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed generate if's with empty if/else blocks. [Mat Zeno]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed generate for cell instantiations with same name. [Mat Zeno]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.481 2005/10/12 Stable
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Add /*verilator tracing_on/off*/ for waveform control.
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed split optimization reordering $display statements.
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.480 2005/9/27 Beta
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Allow coverage of flattened modules, and multiple points per line.
|
|
|
|
|
|
Coverage analysis requires SystemPerl 1.230 or newer.
|
|
|
|
|
|
|
|
|
|
|
|
**** Add preprocessor changes to support meta-comments.
|
|
|
|
|
|
|
|
|
|
|
|
**** Optimize sequential assignments of different bits of same bus; ~5% faster.
|
|
|
|
|
|
|
|
|
|
|
|
**** Optimize away duplicate lookup tables.
|
|
|
|
|
|
|
|
|
|
|
|
**** Optimize wide concatenates into individual words. [Ralf Karge]
|
|
|
|
|
|
|
|
|
|
|
|
**** Optimize local variables from delayed array assignments.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.470 2005/9/6 Stable
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Optimize staging flops under reset blocks.
|
|
|
|
|
|
|
|
|
|
|
|
*** Add '-Werror-...' to upgrade specific warnings to errors.
|
|
|
|
|
|
|
|
|
|
|
|
**** Add GCC branch prediction hints on generated if statements.
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed bad simulation when same function called twice in same expression.
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed preprocessor substitution of quoted parameterized defines.
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.464 2005/8/24 Stable
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Add `systemc_imp_header, for use when using --output-split.
|
|
|
|
|
|
|
|
|
|
|
|
*** Add --stats option to dump design statistics.
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed core dump with clock inversion optimizations.
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.463 2005/8/5 Stable
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Fixed case defaults when not last statement in case list. [Wim Michiels]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.462 2005/8/3 Stable
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
*** Fixed reordering of delayed assignments to same memory index. [Wim Michiels]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed compile error with Flex 2.5.1. [Jens Arm]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed multiply-instantiated public tasks generating non-compilable code.
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.461 2005/7/28 Beta
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed compile error with older versions of bison. [Jeff Dutton]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.460 2005/7/27 Beta
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Add -output-split option to enable faster parallel GCC compiles.
|
|
|
|
|
|
To support --output-split, the makefiles now split VM_CLASSES
|
|
|
|
|
|
into VM_CLASSES_FAST and VM_CLASSES_SLOW. This may require a
|
|
|
|
|
|
change to local makefiles.
|
|
|
|
|
|
|
|
|
|
|
|
** Support -v argument to read library files.
|
|
|
|
|
|
|
|
|
|
|
|
*** When issuing unoptimizable warning, show an example path.
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed false warning when a clock is constant.
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed X/Z in decimal numbers. [Wim Michiels]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed genvar statements in non-named generate blocks.
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed core dump when missing newline in `define. [David van der bokke]
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Internal tree dumps now indicate edit number that changed the node.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.450 2005/7/12
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** $finish will no longer exit, but set Verilated::gotFinish().
|
|
|
|
|
|
This enables support for final statements, and for other cleanup code.
|
|
|
|
|
|
If this is undesired, redefine the vl_user_finish routine. Top level
|
|
|
|
|
|
loops should use Verilated::gotFinish() as a exit condition for their
|
|
|
|
|
|
loop, and then call top->final(). To prevent a infinite loop, a
|
|
|
|
|
|
double $finish will still exit; this may be removed in future
|
|
|
|
|
|
releases.
|
|
|
|
|
|
|
|
|
|
|
|
*** Add support for SystemVerilog keywords $bits, $countones, $isunknown,
|
|
|
|
|
|
$onehot, $onehot0, always_comb, always_ff, always_latch, finish.
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed "=== 1'bx" to always be false, instead of random.
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.440 2005/6/28 Stable
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Add Verilog 2001 generate for/if/case statements.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.431 2005/6/24 Stable
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
*** Fixed selection bugs introduced in 3.430 beta.
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.430 2005/6/22 Beta
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Add Verilog 2001 variable part selects [n+:m] and [n-:m]. [Wim Michiels]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.422 2005/6/10 Stable
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Added Verilog 2001 power (**) operator. [Danny Ding]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed crash and added error message when assigning to inputs. [Ralf Karge]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed tracing of modules with public functions.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.421 2005/6/2 Beta
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Fixed error about reserved word on non-public signals.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed missing initialization compile errors in 3.420 beta. [Ralf Karge]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.420 2005/6/2 Beta
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Fixed case defaults when not last statement in case list. [Ralf Karge]
|
|
|
|
|
|
|
|
|
|
|
|
**** Added error message when multiple defaults in case statement.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed crash when wire self-assigns x=x.
|
|
|
|
|
|
|
|
|
|
|
|
** Performance improvements worth ~20%
|
|
|
|
|
|
|
|
|
|
|
|
** Added -x-assign options; ~5% faster if use -x-assign=0.
|
|
|
|
|
|
|
|
|
|
|
|
**** Optimize shifts out of conditionals and if statements.
|
|
|
|
|
|
|
|
|
|
|
|
**** Optimize local 'short' wires.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed gate optimization with top-flattened modules. [Mahesh Kumashikar]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.411 2005/5/30 Stable
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Fixed compile error in GCC 2.96. [Jeff Dutton]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.410 2005/5/25 Beta
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Allow functions and tasks to be declared public.
|
|
|
|
|
|
They will become public C++ functions, with appropriate C++ types.
|
|
|
|
|
|
This allows users to make public accessor functions/tasks, instead
|
|
|
|
|
|
of having to use public variables and `systemc_header hacks.
|
|
|
|
|
|
|
|
|
|
|
|
*** Skip producing output files if all inputs are identical
|
|
|
|
|
|
This uses timestamps, similar to make. Disable with --no-skip-identical.
|
|
|
|
|
|
|
|
|
|
|
|
**** Improved compile performance with large case statements.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed internal error in V3Table. [Jeff Dutton]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed compile error in GCC 2.96, and with SystemC 1.2. [Jeff Dutton]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.400 2005/4/29 Beta
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Internal changes to support future clocking features.
|
|
|
|
|
|
|
|
|
|
|
|
** Verilog-Perl and SystemPerl are no longer required for C++ or SystemC
|
|
|
|
|
|
output. If you want tracing or coverage analysis, they are still needed.
|
|
|
|
|
|
|
|
|
|
|
|
*** Added --sc to create pure SystemC output not requiring SystemPerl.
|
|
|
|
|
|
|
|
|
|
|
|
*** Added --pins64 to create 64 bit SystemC outputs instead of sc_bv<64>.
|
|
|
|
|
|
|
|
|
|
|
|
*** The --exe flag is now required to produce executables inside the makefile.
|
|
|
|
|
|
This was previously the case any time .cpp files were passed on the
|
|
|
|
|
|
command line.
|
|
|
|
|
|
|
|
|
|
|
|
*** Added -O3 and --inline-mult for performance tuning. [Ralf Karge]
|
|
|
|
|
|
One experiment regained 5% performance, at a cost of 300% in compile time.
|
|
|
|
|
|
|
|
|
|
|
|
*** Improved performance of large case/always statements with low fanin
|
|
|
|
|
|
by converting to internal lookup tables (ROMs).
|
|
|
|
|
|
|
|
|
|
|
|
*** Initialize SystemC port names. [S Shuba]
|
|
|
|
|
|
|
|
|
|
|
|
**** Added Doxygen comments to Verilated includes.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed -cc pins 8 bits wide and less to be uint8_t instead of uint16_t.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed crash when Mdir has same name as .v file. [Gernot Koch]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed crash with size mismatches on case items. [Gernot Koch]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.340 2005/2/18 Stable
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Report misconnected pins across all modules, instead of just first error.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed over-active inlining, resulting in compile slowness.
|
|
|
|
|
|
|
|
|
|
|
|
**** Improved large netlist compile times.
|
|
|
|
|
|
|
|
|
|
|
|
**** Added additional internal assertions.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.332 2005/1/27
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Added -E preprocess only flag, similar to GCC.
|
|
|
|
|
|
|
|
|
|
|
|
*** Added CMPCONSTLR when comparison is constant due to > or < with all ones.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed loss of first -f file argument, introduced in 3.331.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.331 2005/1/18
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** The Verilog::Perl preprocessor is now C++ code inside of Verilator.
|
|
|
|
|
|
This improves performance, makes compilation easier, and enables
|
|
|
|
|
|
some future features.
|
|
|
|
|
|
|
|
|
|
|
|
*** Support arrays of instantiations (non-primitives only). [Wim Michiels]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed unlinked error with defparam. [Shawn Wang]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.320 2004/12/10
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** NEWS is now renamed Changes, to support CPAN indexing.
|
|
|
|
|
|
|
|
|
|
|
|
*** If Verilator is passed a C file, create a makefile link rule.
|
|
|
|
|
|
This saves several user steps when compiling small projects.
|
|
|
|
|
|
|
|
|
|
|
|
*** Added new COMBDLY warning in place of fatal error. [Shawn Wang]
|
|
|
|
|
|
|
|
|
|
|
|
*** Fixed mis-simulation with wide-arrays under bit selects. [Ralf Karge]
|
|
|
|
|
|
|
|
|
|
|
|
**** Added NC Verilog as alternative to VCS for reference tests.
|
|
|
|
|
|
|
|
|
|
|
|
**** Support implicit wire declarations on input-only signals.
|
2006-10-11 17:34:50 +02:00
|
|
|
|
(Dangerous, as leads to wires without drivers, but allowed by spec.)
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Fixed compile warnings on Suse 9.1
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.311 2004/11/29
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Support implicit wire declarations (as a warning). [Shawn Wang]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed over-shift difference in Verilog vs C++. [Ralf Karge]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.310 2004/11/15
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Support defparam.
|
|
|
|
|
|
|
|
|
|
|
|
** Support gate primitives: buf, not, and, nand, or, nor, xor, xnor.
|
|
|
|
|
|
|
|
|
|
|
|
*** Ignore all specify blocks.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.302 2004/11/12
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Support NAND and NOR operators.
|
|
|
|
|
|
|
|
|
|
|
|
*** Better warnings when port widths don't match.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed internal error due to some port width mismatches. [Ralf Karge]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed WIDTH warnings on modules that are only used
|
|
|
|
|
|
parameterized, not in 'default' state.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed selection of SystemC library on cygwin systems. [Shawn Wang]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed runtime bit-selection of parameter constants.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.301 2004/11/04
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Fixed 64 bit [31:0] = {#{}} mis-simulation. [Ralf Karge]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed shifts greater then word width mis-simulation. [Ralf Karge]
|
|
|
|
|
|
|
|
|
|
|
|
**** Work around GCC 2.96 negation bug.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.300 2004/10/21
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** New backend that eliminates most VL_ macros.
|
|
|
|
|
|
Improves performance 20%-50%, depending on frequency of use of signals
|
|
|
|
|
|
over 64 bits. GCC compile times with -O2 shrink by a factor of 10.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed "setting unsigned int from signed value" warning.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.271 2004/10/21
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Fixed "loops detected" error with some negedge clocks.
|
|
|
|
|
|
|
|
|
|
|
|
**** Cleaned up some output code spacing issues.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.270 2004/10/15
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Support Verilog 2001 parameters in module headers. [Ralf Karge]
|
|
|
|
|
|
|
|
|
|
|
|
**** Suppress numeric fault when dividing by zero.
|
|
|
|
|
|
|
|
|
|
|
|
**** Faster code to support compilers not inlining all Verilated functions.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.260 2004/10/7
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Support Verilog 2001 named parameter instantiation. [Ralf Karge]
|
|
|
|
|
|
|
|
|
|
|
|
**** Return 1's when one bit wide extract indexes outside array bounds.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed compile warnings on 64-bit operating systems.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed incorrect dependency in .d file when setting VERILATOR_BIN.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.251 2004/9/9
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Fixed parenthesis overflow in Microsoft Visual C++ [Renga Sundararajan]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.250 2004/8/30
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Support Microsoft Visual C++ [Renga Sundararajan]
|
|
|
|
|
|
|
|
|
|
|
|
*** SystemPerl 1.161+ is required.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.241 2004/8/17
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Support ,'s to separate multiple assignments. [Paul Nitza]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed shift sign extension problem using non-GCC compilers.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.240 2004/8/13
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Verilator now uses 64 bit math where appropriate.
|
|
|
|
|
|
Inputs and outputs of 33-64 bits wide to the C++ Verilated model must
|
|
|
|
|
|
now be uint64_t's; SystemC has not changed, they will remain sc_bv's.
|
|
|
|
|
|
This increases performance by ~ 9% on x86 machines, varying with how
|
|
|
|
|
|
frequently 33-64 bit signals occur. Signals 9-16 bits wide are now
|
|
|
|
|
|
stored as 16 bit shorts instead of longs, this aids cache packing.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed SystemC compile error with feedthrus. [Paul Nitza]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed concat value error introduced in 3.230.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.230 2004/8/10
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Added coverage output to test_sp example, SystemPerl 1.160+ is required.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed time 0 value of signals. [Hans Van Antwerpen]
|
|
|
|
|
|
Earlier versions would not evaluate some combinatorial signals
|
|
|
|
|
|
until posedge/negedge blocks had been activated.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed wide constant inputs to public submodules [Hans Van Antwerpen]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed wide signal width extension bug.
|
|
|
|
|
|
Only applies when width mismatch warnings were overridden.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.220 2004/6/22
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Many waveform tracing changes:
|
|
|
|
|
|
|
|
|
|
|
|
*** Tracing is now supported on C++ standalone simulations. [John Brownlee]
|
|
|
|
|
|
|
|
|
|
|
|
*** When tracing, SystemPerl 1.150 or newer is required.
|
|
|
|
|
|
|
|
|
|
|
|
*** When tracing, Verilator must be called with the --trace switch.
|
|
|
|
|
|
|
|
|
|
|
|
**** Added SystemPerl example to documentation. [John Brownlee]
|
|
|
|
|
|
|
|
|
|
|
|
**** Various Cygwin compilation fixes. [John Brownlee]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.210 2004/4/1
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Compiler optimization switches have changed
|
|
|
|
|
|
See the BENCHMARKING section of the documentation.
|
|
|
|
|
|
|
|
|
|
|
|
*** With Verilog-Perl 2.3 or newer, Verilator supports SystemVerilog
|
|
|
|
|
|
preprocessor extensions.
|
|
|
|
|
|
|
|
|
|
|
|
*** Added localparam. [Thomas Hawkins]
|
|
|
|
|
|
|
|
|
|
|
|
*** Added warnings for SystemVerilog reserved words.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.203 2004/3/10
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Notes and repairs for Solaris. [Fred Ma]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.202 2004/1/27
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** The beta version is now the primary release. See below for many changes.
|
|
|
|
|
|
If you have many problems, you may wish to try release 3.125.
|
|
|
|
|
|
|
|
|
|
|
|
*** Verilated::traceEverOn(true) must be called at time 0 if you will ever
|
|
|
|
|
|
turn on tracing (waveform dumping) of signals. Future versions will
|
|
|
|
|
|
need this switch to disable trace incompatible optimizations.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed several tracing bugs
|
|
|
|
|
|
|
|
|
|
|
|
**** Added optimizations for common replication operations.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.201-beta 2003/12/10
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** BETA VERSION, USE 3.124 for stable release!
|
|
|
|
|
|
|
|
|
|
|
|
** Version 3.2XX includes a all new back-end.
|
|
|
|
|
|
This includes automatic inlining, flattening of signals between
|
|
|
|
|
|
hierarchy, and complete ordering of statements. This results in
|
|
|
|
|
|
60-300% execution speedups, though less pretty C++ output. Even
|
|
|
|
|
|
better results are possible using GCC 3.2.2 (part of Redhat 9.1), as
|
|
|
|
|
|
GCC has fixed some optimization problems which Verilator exposes.
|
|
|
|
|
|
|
|
|
|
|
|
If you are using `systemc_ctor, beware pointers to submodules are now
|
|
|
|
|
|
initialized after the constructor is called for a module, to avoid
|
|
|
|
|
|
segfaults, move statements that reference subcells into initial
|
|
|
|
|
|
statements.
|
|
|
|
|
|
|
|
|
|
|
|
*** C++ Constructor that creates a verilog module may take a char* name.
|
|
|
|
|
|
This name will be used to prefix any $display %m arguments, so users may
|
|
|
|
|
|
distinguish between multiple Verilated modules in a single executable.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.125 2004/1/27
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Optimization of bit replications
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.124 2003/12/05
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** A optimized executable will be made by default, in addition to a debug
|
|
|
|
|
|
executable. Invoking Verilator with --debug will pick the debug version.
|
|
|
|
|
|
|
|
|
|
|
|
**** Many minor invisible changes to support the next version.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.123 2003/11/10
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Wide bus performance enhancements.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed function call bug when width warning suppressed. [Leon Wildman]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed __DOT__ compile problem with funcs in last revision. [Leon Wildman]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.122 2003/10/29
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Modules which are accessed from external code now must be marked with
|
|
|
|
|
|
/*verilator public_module*/ unless they already contain public signals.
|
|
|
|
|
|
To enforce this, private cell names now have a string prepended.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed replicated function calls in one statement. [Robert A. Clark]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed function call bug when width warning suppressed. [Leon Wildman]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.121 2003/09/29
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Support multiplication over 32 bits. [Chris Boumenot]
|
|
|
|
|
|
Also improved speed of addition and subtraction over 32 bits.
|
|
|
|
|
|
|
|
|
|
|
|
*** Detect bit selection out of range errors.
|
|
|
|
|
|
|
|
|
|
|
|
*** Detect integer width errors.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed width problems on function arguments. [Robert A. Clark]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.120 2003/09/24
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** $finish now exits the model (via vl_finish function).
|
|
|
|
|
|
|
|
|
|
|
|
*** Support inputs/outputs in tasks.
|
|
|
|
|
|
|
|
|
|
|
|
*** Support V2K "integer int = {INITIAL_VALUE};"
|
|
|
|
|
|
|
|
|
|
|
|
*** Ignore floating point delay values. [Robert A. Clark]
|
|
|
|
|
|
|
|
|
|
|
|
**** Ignore `celldefine, `endcelldefine, etc. [Robert A. Clark]
|
|
|
|
|
|
|
|
|
|
|
|
**** New optimizations on reduction operators.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed converting "\ooo" into octal values.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed $display("%x");
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.112 2003/09/16
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Fixed functions in continuous assignments. [Robert A. Clark]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed inlining of modules with 2-level deep outputs.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.111 2003/09/15
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Fixed declaration of functions before using that module. [Robert A. Clark]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed module inlining bug with outputs.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.110 2003/09/12
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Support Verilog 2001 style input/output declarations. [Robert A. Clark]
|
|
|
|
|
|
|
|
|
|
|
|
*** Allow local vars in headers of function/tasks. [Leon Wildman]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.109 2003/08/28
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Added support for local variables in named begin blocks. [Leon Wildman]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.108 2003/08/11
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Added support for functions.
|
|
|
|
|
|
|
|
|
|
|
|
*** Signals 8 bits and shorter are now stored as chars
|
|
|
|
|
|
instead of uint32_t's. This improves Dcache packing and
|
|
|
|
|
|
improves performance by ~7%.
|
|
|
|
|
|
|
|
|
|
|
|
**** $display now usually results in a single VL_PRINT rather then many.
|
|
|
|
|
|
|
|
|
|
|
|
**** Many optimizations involving conditionals (?:)
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.107 2003/07/15
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** --private and --l2name are now the default,
|
|
|
|
|
|
as this enables additional optimizations.
|
|
|
|
|
|
Use --noprivate or --nol2name to get the older behavior.
|
|
|
|
|
|
|
|
|
|
|
|
*** Now support $display of binary and wide format data.
|
|
|
|
|
|
|
|
|
|
|
|
*** Added detection of incomplete case statements,
|
|
|
|
|
|
and added related optimizations worth ~4%.
|
|
|
|
|
|
|
|
|
|
|
|
**** Work around flex bug in Redhat 8.0. [Eugene Weber]
|
|
|
|
|
|
|
|
|
|
|
|
**** Added some additional C++ reserved words.
|
|
|
|
|
|
|
|
|
|
|
|
**** Additional constant optimizations, ~5% speed improvement.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.106 2003/06/17
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** $c can now take multiple expressions as arguments.
|
|
|
|
|
|
For example $c("foo","bar(",32+1,");") will insert "foobar(33);"
|
|
|
|
|
|
This makes it easier to pass the values of signals.
|
|
|
|
|
|
|
|
|
|
|
|
** Several changes to support future versions that may have
|
|
|
|
|
|
signal-eliminating optimizations. Users should try to use these switch
|
|
|
|
|
|
on designs, they will become the default in later versions.
|
|
|
|
|
|
|
|
|
|
|
|
*** Added --private switch and /*verilator public*/ metacomment.
|
|
|
|
|
|
This renames all signals so that compile errors will result if any
|
|
|
|
|
|
signals referenced by C++ code are missing a /*verilator public*/
|
|
|
|
|
|
metacomment.
|
|
|
|
|
|
|
|
|
|
|
|
*** With --l2name, the second level cell C++ cell is now named "v".
|
|
|
|
|
|
Previously it was named based on the name of the verilog code. This
|
|
|
|
|
|
means to get to signals, scope to "{topcell} ->v ->{mysignal}" instead
|
|
|
|
|
|
of "{topcell} ->{verilogmod}. {mysignal}". This allows different
|
|
|
|
|
|
modules to be substituted for the cell without requiring source
|
|
|
|
|
|
changes.
|
|
|
|
|
|
|
|
|
|
|
|
**** Several cleanups for Redhat 8.0.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.105 2003/05/08
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Fixed more GCC 3.2 errors. [David Black]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.104 2003/04/30
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Indicate direction of ports with VL_IN and VL_OUT.
|
|
|
|
|
|
|
|
|
|
|
|
*** Allow $c32, etc, to specify width of the $c statement for VCS.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed false "indent underflow" error inside `systemc_ctor sections.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed missing ordering optimizations when outputs also used internally.
|
|
|
|
|
|
|
|
|
|
|
|
*** Numerous performance improvements, worth about 25%
|
|
|
|
|
|
|
|
|
|
|
|
**** Assign constant cell pins in initial blocks rather then every cycle.
|
|
|
|
|
|
|
|
|
|
|
|
**** Promote subcell's combo logic to sequential evaluation when possible.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed GCC 3.2 compile errors. [Narayan Bhagavatula]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.103 2003/01/28
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Fixed missing model evaluation when clock generated several levels of
|
|
|
|
|
|
hierarchy across from where it is used as a clock. [Richard Myers]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed sign-extension bug introduced in 3.102.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.102 2003/01/24
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Fixed sign-extension of X/Z's ("32'hx")
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.101 2003/01/13
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Fixed 'parameter FOO=#'bXXXX' [Richard Myers]
|
|
|
|
|
|
|
|
|
|
|
|
**** Allow spaces inside numbers ("32'h 1234") [Sam Gladstone]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.100 2002/12/23
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Support for simple tasks w/o vars or I/O. [Richard Myers]
|
|
|
|
|
|
|
|
|
|
|
|
**** Ignore DOS carriage returns in Linux files. [Richard Myers]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.012 2002/12/18
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Fixed parsing bug with casex statements containing case items
|
|
|
|
|
|
with bit extracts of parameters. [Richard Myers]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed bug which could cause writes of non-power-of-2 sized arrays to
|
|
|
|
|
|
corrupt memory beyond the size of the array. [Dan Lussier]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed bug which did not detect UNOPT problems caused by
|
|
|
|
|
|
submodules. See the description in the verilator man page. [John Deroo]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed compile with threaded Perl. [Ami Keren]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.010 2002/11/3
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Support SystemC 2.0.1. SystemPerl version 1.130 or newer is required.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed bug with inlined modules under other inlined modules. [Scott
|
|
|
|
|
|
Bleiweiss]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.005 2002/10/21
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Fixed X's in case (not casex/z) to constant propagate correctly.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed missing include. [Kurachi]
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.004 2002/10/10
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Added /* verilator module_inline */ and associated optimizations.
|
|
|
|
|
|
|
|
|
|
|
|
*** Allow /* verilator coverage_block_off */ in place of `coverage_block_off.
|
|
|
|
|
|
This prevents problems with Emacs AUTORESET. [Ray Strouble]
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed `coverage_block_off also disabling subsequent blocks.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed unrolling of loops with multiple simple statements.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed compile warnings on newer GCC. [Kurachi]
|
|
|
|
|
|
|
|
|
|
|
|
**** Additional concatenation optimizations.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.003 2002/09/13
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Now compiles on Windows 2000 with Cygwin.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed bug with pin assignments to wide memories.
|
|
|
|
|
|
|
|
|
|
|
|
**** Optimize wire assignments to constants.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.002 2002/08/19
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** First public release of version 3.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 3.000 2002/08/03
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** All new code base. Many changes too numerous to mention.
|
|
|
|
|
|
|
|
|
|
|
|
*** Approximately 4 times faster then Verilator 2.
|
|
|
|
|
|
*** Supports initial statements
|
|
|
|
|
|
*** Supports correct blocking/nonblocking assignments
|
|
|
|
|
|
*** Supports `defines across multiple modules
|
|
|
|
|
|
*** Optimizes call ordering, constant propagation, and dead code elimination.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 2.1.8 2002/04/03
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** All applications must now link against include/verilated.cpp
|
|
|
|
|
|
|
|
|
|
|
|
*** Paths specified to verilator_make should be absolute, or be formed
|
|
|
|
|
|
to allow for execution in the object directory (prepend ../ to each path.)
|
|
|
|
|
|
This allows relative filenames for makes which hash and cache dependencies.
|
|
|
|
|
|
|
|
|
|
|
|
**** Added warning when parameter constants are too large. [John Deroo]
|
|
|
|
|
|
|
|
|
|
|
|
**** Added warning when x/?'s used in non-casez statements.
|
|
|
|
|
|
|
|
|
|
|
|
**** Added warning when blocking assignments used in posedge blocks. [Dan Lussier]
|
|
|
|
|
|
|
|
|
|
|
|
**** Split evaluation function into clocked and non-clocked, 20% perf gain.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 2.1.5 2001/12/1
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Added coverage analysis. In conjunction with SystemC provide line
|
|
|
|
|
|
coverage reports, without SystemC, provide a hook to user written
|
|
|
|
|
|
accumulation function. See --coverage option of verilator_make.
|
|
|
|
|
|
|
|
|
|
|
|
*** Relaxed multiply range checking
|
|
|
|
|
|
|
|
|
|
|
|
*** Support for constants up to 128 bits
|
|
|
|
|
|
|
|
|
|
|
|
*** Randomize values used when assigning to X's.
|
|
|
|
|
|
|
|
|
|
|
|
**** Added -guard option of internal testing.
|
|
|
|
|
|
|
|
|
|
|
|
**** Changed indentation in emitted code to be automatically generated.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed corruption of assignments of signal over 32 bits with non-0 lsb.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 2.1.4 2001/11/16
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Added $c("c_commands();"); for embedding arbitrary C code in Verilog.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 2.1.3 2001/11/03
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Support for parameters.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 2.1.2 2001/10/25
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** Verilog Errors now reference the .v file rather then the .vpp file.
|
|
|
|
|
|
|
|
|
|
|
|
*** Support strings in assignments: reg [31:0] foo = "STRG";
|
|
|
|
|
|
|
|
|
|
|
|
*** Support %m in format strings. Ripped out old $info support, use
|
|
|
|
|
|
Verilog-Perl's vpm program instead.
|
|
|
|
|
|
|
|
|
|
|
|
*** Convert $stop to call of v_stop() which user can define.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed bug where a==b==c would have wrong precedence rule.
|
|
|
|
|
|
|
|
|
|
|
|
**** Fixed bug where XNOR on odd-bit-widths (~^ or ^~) had bad value.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 2.1.1 2001/5/17
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** New test_sp directory for System-Perl (SystemC) top level instantiation
|
|
|
|
|
|
of the Verilated code, lower modules are still C++ code. (Experimental).
|
|
|
|
|
|
|
|
|
|
|
|
** New test_spp directory for Pure System-Perl (SystemC) where every module
|
|
|
|
|
|
is true SystemC code. (Experimental)
|
|
|
|
|
|
|
|
|
|
|
|
*** Input ports are now loaded by pointer reference into the sub-cell.
|
|
|
|
|
|
This is faster on I-386 machines, as the stack must be used when there are
|
|
|
|
|
|
a large number of parameters. Also, this simplifies debugging as the value
|
|
|
|
|
|
of input ports exists for tracing.
|
|
|
|
|
|
|
|
|
|
|
|
**** Many code cleanups towards standard C++ style conventions.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 2.1.0 2001/5/8
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Many code cleanups towards standard C++ style conventions.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
|
* {Version history lost}
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 1.8 1996/7/8
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
** [Versions 0 to 1.8 were by Paul Wasson]
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed single bit in concat from instance output incorrect offset bug.
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 1.7 1996/5/20
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** Mask unused bits of DONTCAREs.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 1.6 1996/5/13
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Added fasttrace script
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 1.5 1996/1/9
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Pass structure pointer into translated code,
|
|
|
|
|
|
so multiple instances can use same functions.
|
|
|
|
|
|
|
2007-11-02 12:23:03 +01:00
|
|
|
|
**** Fixed static value concat on casex items.
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 1.1 1995/3/30
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Bug fixes, added verimake_partial script, performance improvements.
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 1.0c 1994/9/30
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
*** Initial release of Verilator
|
|
|
|
|
|
|
2011-09-15 03:11:14 +02:00
|
|
|
|
|
2008-01-15 16:03:59 +01:00
|
|
|
|
* Verilator 0.0 1994/7/8
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
**** First code written.
|
|
|
|
|
|
|
|
|
|
|
|
----------------------------------------------------------------------
|
|
|
|
|
|
|
|
|
|
|
|
This uses outline mode in Emacs. See C-h m [M-x describe-mode].
|
|
|
|
|
|
|
2013-01-01 15:42:59 +01:00
|
|
|
|
Copyright 2001-2013 by Wilson Snyder. This program is free software; you
|
2009-05-04 23:07:57 +02:00
|
|
|
|
can redistribute it and/or modify it under the terms of either the GNU
|
|
|
|
|
|
Lesser General Public License Version 3 or the Perl Artistic License
|
|
|
|
|
|
Version 2.0.
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
Local variables:
|
|
|
|
|
|
mode: outline
|
|
|
|
|
|
paragraph-separate: "[ \f\n]*$"
|
|
|
|
|
|
end:
|