2006-08-26 13:35:28 +02:00
|
|
|
`line 1 "t/t_preproc.v" 1
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 6 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 8 "t/t_preproc.v" 0
|
2010-07-09 02:51:54 +02:00
|
|
|
`line 1 "t/t_preproc_inc2.vh" 1
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
`line 2 "t/t_preproc_inc2.vh" 0
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
|
|
|
|
|
At file "t/t_preproc_inc2.vh" line 5
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2008-03-27 14:21:49 +01:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 7 "t/t_preproc_inc2.vh" 0
|
2010-07-09 02:51:54 +02:00
|
|
|
`line 1 "t/t_preproc_inc3.vh" 1
|
2007-06-13 19:34:09 +02:00
|
|
|
`line 2 "inc3_a_filename_from_line_directive" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 7 "inc3_a_filename_from_line_directive" 0
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
At file "inc3_a_filename_from_line_directive" line 11
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 13 "inc3_a_filename_from_line_directive" 0
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 16 "inc3_a_filename_from_line_directive" 0
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 20 "inc3_a_filename_from_line_directive" 2
|
|
|
|
|
`line 7 "t/t_preproc_inc2.vh" 0
|
2008-03-27 14:21:49 +01:00
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 9 "t/t_preproc_inc2.vh" 2
|
|
|
|
|
`line 8 "t/t_preproc.v" 0
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 10 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 13 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
/*verilator pass_thru comment*/
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 15 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
/*verilator pass_thru_comment2*/
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 17 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 20 "t/t_preproc.v" 0
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
wire [3:0] q = {
|
2010-07-11 00:30:16 +02:00
|
|
|
1'b1 ,
|
|
|
|
|
1'b0 ,
|
|
|
|
|
1'b1 ,
|
2006-08-26 13:35:28 +02:00
|
|
|
1'b1
|
|
|
|
|
};
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 30 "t/t_preproc.v" 0
|
2006-08-26 13:35:28 +02:00
|
|
|
text.
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 32 "t/t_preproc.v" 0
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
2011-01-12 00:46:21 +01:00
|
|
|
foo bar
|
2008-03-27 14:21:49 +01:00
|
|
|
foobar2
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 37 "t/t_preproc.v" 0
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 41 "t/t_preproc.v" 0
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 46 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
first part
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 47 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
second part
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 47 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
third part
|
|
|
|
|
{
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 48 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
a,
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 48 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
b,
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 48 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
c}
|
2020-03-21 16:24:24 +01:00
|
|
|
Line_Preproc_Check 49
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 51 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 53 "t/t_preproc.v" 0
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 55 "t/t_preproc.v" 0
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
2008-03-27 14:21:49 +01:00
|
|
|
deep deep
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 59 "t/t_preproc.v" 0
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
"Inside: `nosubst"
|
2010-07-07 02:29:12 +02:00
|
|
|
"`nosubst"
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 64 "t/t_preproc.v" 0
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2008-03-27 14:21:49 +01:00
|
|
|
x y LLZZ x y
|
2010-07-11 00:30:16 +02:00
|
|
|
p q LLZZ p q r s LLZZ r s LLZZ p q LLZZ p q r s LLZZ r s
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 70 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
firstline comma","line LLZZ firstline comma","line
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 72 "t/t_preproc.v" 0
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2012-02-26 03:31:36 +01:00
|
|
|
x y LLZZ "x" y
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 75 "t/t_preproc.v" 0
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2008-03-27 14:21:49 +01:00
|
|
|
(a,b)(a,b)
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 78 "t/t_preproc.v" 0
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2008-03-27 14:21:49 +01:00
|
|
|
$display("left side: \"right side\"")
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 81 "t/t_preproc.v" 0
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2010-07-07 02:29:12 +02:00
|
|
|
bar_suffix more
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 84 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 86 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
$c("Zap(\"",bug1,"\");");;
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 87 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
$c("Zap(\"","bug2","\");");;
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 89 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 92 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 95 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
initial begin
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
$display("pre thrupre thrumid thrupost post: \"right side\"");
|
|
|
|
|
$display("left side: \"right side\"");
|
|
|
|
|
$display("left side: \"right side\"");
|
|
|
|
|
$display("left_side: \"right_side\"");
|
|
|
|
|
$display("na: \"right_side\"");
|
|
|
|
|
$display("prep ( midp1 left_side midp2 ( outp ) ): \"right_side\"");
|
|
|
|
|
$display("na: \"nana\"");
|
2011-01-12 00:46:21 +01:00
|
|
|
$display("left_side right_side: \"left_side right_side\"");
|
|
|
|
|
$display(": \"\"");
|
2010-07-11 00:30:16 +02:00
|
|
|
$display("left side: \"right side\"");
|
|
|
|
|
$display("left side: \"right side\"");
|
|
|
|
|
$display("standalone");
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 116 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
$display("twoline: \"first second\"");
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
$write("*-* All Finished *-*\n");
|
|
|
|
|
$finish;
|
|
|
|
|
end
|
|
|
|
|
endmodule
|
2008-03-27 14:21:49 +01:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 126 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 129 "t/t_preproc.v" 0
|
2008-03-27 14:21:49 +01:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 134 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
module add1 ( input wire d1, output wire o1);
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 135 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
wire tmp_d1 = d1;
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 135 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
wire tmp_o1 = tmp_d1 + 1;
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 135 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
assign o1 = tmp_o1 ;
|
2010-07-11 00:30:16 +02:00
|
|
|
endmodule
|
|
|
|
|
module add2 ( input wire d2, output wire o2);
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 138 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
wire tmp_d2 = d2;
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 138 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
wire tmp_o2 = tmp_d2 + 1;
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 138 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
assign o2 = tmp_o2 ;
|
2010-07-11 00:30:16 +02:00
|
|
|
endmodule
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 141 "t/t_preproc.v" 0
|
2008-04-25 16:01:50 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 147 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2008-04-25 16:01:50 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 152 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 152 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
generate for (i=0; i<(3); i=i+1) begin
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 152 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
psl cover { m5k.f .ctl._ctl_mvldx_m1.d[i] & ~m5k.f .ctl._ctl_mvldx_m1.q[i] & !m5k.f .ctl._ctl_mvldx_m1.cond & ((m5k.f .ctl.alive & m5k.f .ctl.alive_m1))} report "fondNoRise: m5kc_fcl._ctl_mvldx_m1";
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 152 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
psl cover { ~m5k.f .ctl._ctl_mvldx_m1.d[i] & m5k.f .ctl._ctl_mvldx_m1.q[i] & !m5k.f .ctl._ctl_mvldx_m1.cond & ((m5k.f .ctl.alive & m5k.f .ctl.alive_m1))} report "fondNoFall: m5kc_fcl._ctl_mvldx_m1";
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 152 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
end endgenerate
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 154 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
module prot();
|
|
|
|
|
`protected
|
|
|
|
|
I!#r#e6<_Q{{E2+]I3<[3s)1@D|'E''i!O?]jD>Jo_![Cl)
|
|
|
|
|
#nj1]p,3^1~,="E@QZB\T)eU\pC#C|7=\$J$##A[@-@{Qk]
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 160 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
`endprotected
|
|
|
|
|
endmodule
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 164 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2008-05-06 03:11:21 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 174 "t/t_preproc.v" 0
|
2008-05-06 03:11:21 +02:00
|
|
|
begin addr <= (({regs[6], regs[7]} + 1)); rd <= 1; end and begin addr <= (({regs[6], regs[7]})); wdata <= (rdata); wr <= 1; end
|
|
|
|
|
begin addr <= ({regs[6], regs[7]} + 1); rd <= 1; end
|
2010-07-11 00:30:16 +02:00
|
|
|
begin addr <= ({regs[6], regs[7]}); wdata <= (rdata); wr <= 1; end more
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 178 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 181 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
`line 1 "t/t_preproc_inc4.vh" 1
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
`line 2 "t/t_preproc_inc4.vh" 0
|
|
|
|
|
|
|
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 6 "t/t_preproc_inc4.vh" 0
|
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 8 "t/t_preproc_inc4.vh" 2
|
2010-07-11 00:30:16 +02:00
|
|
|
`line 181 "t/t_preproc.v" 0
|
2020-03-21 16:24:24 +01:00
|
|
|
|
|
|
|
|
`line 182 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 185 "t/t_preproc.v" 0
|
2006-08-26 13:35:28 +02:00
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 187 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
|
|
|
|
|
2006-08-26 13:35:28 +02:00
|
|
|
|
2009-05-11 17:57:43 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 191 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2009-05-11 17:57:43 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 194 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
|
|
|
|
$blah("ab,cd","e,f");
|
|
|
|
|
$blah(this.logfile,vec);
|
|
|
|
|
$blah(this.logfile,vec[1,2,3]);
|
|
|
|
|
$blah(this.logfile,{blah.name(), " is not foo"});
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 200 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 203 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
`pragma foo = 1
|
|
|
|
|
`default_nettype none
|
|
|
|
|
`default_nettype uwire
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 207 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 210 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 214 "t/t_preproc.v" 0
|
|
|
|
|
Line_Preproc_Check 214
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 216 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 219 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2009-05-11 17:57:43 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
(p,q)
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 226 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
(x,y)
|
2020-03-21 16:24:24 +01:00
|
|
|
Line_Preproc_Check 227
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 229 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 232 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
beginend
|
2011-01-12 00:46:21 +01:00
|
|
|
beginend
|
|
|
|
|
"beginend"
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 240 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
`\esc`def
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 246 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
Not a \`define
|
2009-05-11 17:57:43 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 248 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2009-09-18 04:00:17 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2009-11-23 20:26:04 +01:00
|
|
|
|
2009-09-18 04:00:17 +02:00
|
|
|
x,y)--bee submacro has comma paren
|
2009-11-23 20:26:04 +01:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 256 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2009-11-23 20:26:04 +01:00
|
|
|
|
2012-02-26 03:31:36 +01:00
|
|
|
$display("10 %d %d", $bits(foo), 10);
|
2010-01-28 15:41:24 +01:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 261 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2010-01-28 15:41:24 +01:00
|
|
|
|
2010-07-07 02:29:12 +02:00
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 266 "t/t_preproc.v" 0
|
2010-07-07 02:29:12 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 269 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2010-07-07 02:29:12 +02:00
|
|
|
|
2010-01-28 15:41:24 +01:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 283 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 283 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 283 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 283 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 283 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 283 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 283 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 283 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 283 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 283 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
assign a3 = ~b3 ;
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 283 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2010-01-28 15:41:24 +01:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 285 "t/t_preproc.v" 0
|
2010-01-28 15:41:24 +01:00
|
|
|
|
2011-01-12 00:46:21 +01:00
|
|
|
\
|
|
|
|
|
|
2010-01-28 15:41:24 +01:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 294 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 294 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 294 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
def i
|
2010-01-28 15:41:24 +01:00
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 296 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 298 "t/t_preproc.v" 0
|
2010-01-28 15:41:24 +01:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 302 "t/t_preproc.v" 0
|
2010-01-28 15:41:24 +01:00
|
|
|
|
|
|
|
|
|
2010-02-19 02:57:46 +01:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 308 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
1 /*verilator NOT IN DEFINE*/ (nodef)
|
|
|
|
|
2 /*verilator PART OF DEFINE*/ (hasdef)
|
2010-07-11 00:30:16 +02:00
|
|
|
3
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 310 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
/*verilator NOT PART
|
2011-01-12 00:46:21 +01:00
|
|
|
OF DEFINE*/ (nodef)
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 311 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
4
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 311 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
/*verilator PART
|
2011-01-12 00:46:21 +01:00
|
|
|
OF DEFINE*/ (nodef)
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 312 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
5 also in
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 312 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
also3 (nodef)
|
2010-01-28 15:41:24 +01:00
|
|
|
|
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
HAS a NEW
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 315 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
LINE
|
2010-01-28 15:41:24 +01:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 317 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2010-02-19 02:57:46 +01:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 319 "t/t_preproc.v" 0
|
2010-02-19 02:57:46 +01:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 332 "t/t_preproc.v" 0
|
2010-02-19 02:57:46 +01:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 335 "t/t_preproc.v" 0
|
2010-02-19 02:57:46 +01:00
|
|
|
EXP: clxx_scen
|
|
|
|
|
clxx_scen
|
|
|
|
|
EXP: clxx_scen
|
|
|
|
|
"clxx_scen"
|
|
|
|
|
|
|
|
|
|
EXP: do if (start("verilog/inc1.v", 25)) begin message({"Blah-", "clx_scen", " end"}); end while(0);
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 341 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
do
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 341 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 341 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 341 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 341 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 341 "t/t_preproc.v" 0
|
|
|
|
|
if (start("t/t_preproc.v", 341)) begin
|
|
|
|
|
`line 341 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 341 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
message({"Blah-", "clx_scen", " end"});
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 341 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
end
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 341 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 341 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
while(0);
|
2010-02-19 02:57:46 +01:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 343 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2010-02-19 02:57:46 +01:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 345 "t/t_preproc.v" 0
|
2010-02-19 02:57:46 +01:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 349 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 349 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2010-02-19 02:57:46 +01:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 350 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2010-02-19 02:57:46 +01:00
|
|
|
EXP: This is fooed
|
2010-07-11 00:30:16 +02:00
|
|
|
This is fooed
|
2010-02-19 02:57:46 +01:00
|
|
|
EXP: This is fooed_2
|
|
|
|
|
This is fooed_2
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 357 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2010-07-07 02:29:12 +02:00
|
|
|
|
|
|
|
|
np
|
|
|
|
|
np
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 368 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 371 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 379 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 383 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
hello3hello3hello3
|
|
|
|
|
hello4hello4hello4hello4
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 389 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
`line 1 "t/t_preproc_inc4.vh" 1
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
`line 2 "t/t_preproc_inc4.vh" 0
|
|
|
|
|
|
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 6 "t/t_preproc_inc4.vh" 0
|
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 8 "t/t_preproc_inc4.vh" 2
|
2010-07-11 00:30:16 +02:00
|
|
|
`line 389 "t/t_preproc.v" 0
|
2020-03-21 16:24:24 +01:00
|
|
|
|
|
|
|
|
`line 390 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 398 "t/t_preproc.v" 0
|
2010-07-11 00:30:16 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
Line_Preproc_Check 402
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2010-07-11 00:30:16 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
Line_Preproc_Check 408
|
2010-07-11 00:30:16 +02:00
|
|
|
"FOO \
|
|
|
|
|
BAR " "arg_line1 \
|
|
|
|
|
arg_line2" "FOO \
|
|
|
|
|
BAR "
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 411 "t/t_preproc.v" 0
|
|
|
|
|
Line_Preproc_Check 411
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
2010-09-20 21:20:16 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 415 "t/t_preproc.v" 0
|
2010-09-20 21:20:16 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2010-09-20 21:20:16 +02:00
|
|
|
|
|
|
|
|
abc
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 425 "t/t_preproc.v" 0
|
2010-09-20 21:20:16 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
EXP: sonet_frame
|
|
|
|
|
sonet_frame
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 431 "t/t_preproc.v" 0
|
2010-09-20 21:20:16 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
EXP: sonet_frame
|
|
|
|
|
sonet_frame
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2010-09-20 21:20:16 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
EXP: sonet_frame
|
|
|
|
|
sonet_frame
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 441 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
2010-09-20 21:20:16 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
EXP: module zzz ; endmodule
|
|
|
|
|
module zzz ; endmodule
|
|
|
|
|
module zzz ; endmodule
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 448 "t/t_preproc.v" 0
|
2010-09-20 21:20:16 +02:00
|
|
|
|
|
|
|
|
EXP: module a_b ; endmodule
|
|
|
|
|
module a_b ; endmodule
|
|
|
|
|
module a_b ; endmodule
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 453 "t/t_preproc.v" 0
|
2011-01-12 00:46:21 +01:00
|
|
|
|
|
|
|
|
|
|
|
|
|
integer foo;
|
|
|
|
|
|
2012-02-26 03:31:36 +01:00
|
|
|
|
|
|
|
|
module t;
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
initial begin : \`LEX_CAT(a[0],_assignment)
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 465 "t/t_preproc.v" 0
|
2012-02-26 03:31:36 +01:00
|
|
|
$write("GOT%%m='%m' EXP='%s'\n", "t.\\`LEX_CAT(a[0],_assignment) "); end
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
initial begin : \a[0]_assignment_a[1]
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 472 "t/t_preproc.v" 0
|
2012-02-26 03:31:36 +01:00
|
|
|
$write("GOT%%m='%m' EXP='%s'\n", "t.\\a[0]_assignment_a[1] "); end
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
initial begin : \`CAT(pp,suffix) $write("GOT%%m='%m' EXP='%s'\n", "t.\\`CAT(pp,suffix) "); end
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
initial begin : \`CAT(ff,bb)
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 486 "t/t_preproc.v" 0
|
2012-02-26 03:31:36 +01:00
|
|
|
$write("GOT%%m='%m' EXP='%s'\n", "t.\\`CAT(ff,bb) "); end
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
initial begin : \`zzz
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 492 "t/t_preproc.v" 0
|
2012-02-26 03:31:36 +01:00
|
|
|
$write("GOT%%m='%m' EXP='%s'\n", "t.\\`zzz "); end
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
initial begin : \`FOO
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 499 "t/t_preproc.v" 0
|
2012-02-26 03:31:36 +01:00
|
|
|
$write("GOT%%m='%m' OTHER_EXP='%s'\n OUR_EXP='%s'", "t.bar ","t.\\`FOO "); end
|
|
|
|
|
|
|
|
|
|
initial begin : \xx`FOO
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 501 "t/t_preproc.v" 0
|
2012-02-26 03:31:36 +01:00
|
|
|
$write("GOT%%m='%m' EXP='%s'\n", "t.\\xx`FOO "); end
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
initial begin : \`UNKNOWN $write("GOT%%m='%m' EXP='%s'\n", "t.\\`UNKNOWN "); end
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
initial begin : \`DEF_NO_EXPAND $write("GOT%%m='%m' EXP='%s'\n", "t.\\`DEF_NO_EXPAND "); end
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
initial $write("GOT='%s' EXP='%s'\n", "foo bar baz", "foo bar baz");
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
initial $write("GOT='%s' EXP='%s'\n", "foo `A(bar) baz", "foo `A(bar) baz");
|
|
|
|
|
|
2014-11-12 22:37:51 +01:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
initial $write("Slashed=`%s'\n", "1//2.3");
|
2015-05-08 03:41:54 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
initial
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 532 "t/t_preproc.v" 0
|
2015-05-08 03:41:54 +02:00
|
|
|
$display("%s%s","a1","b2c3\n");
|
2012-02-26 03:31:36 +01:00
|
|
|
endmodule
|
2017-10-07 20:01:18 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 535 "t/t_preproc.v" 0
|
2017-10-07 20:01:18 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 538 "t/t_preproc.v" 0
|
2017-10-07 20:01:18 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
$display("RAM0");
|
|
|
|
|
$display("CPU");
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 543 "t/t_preproc.v" 0
|
2017-10-07 20:01:18 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 548 "t/t_preproc.v" 0
|
2017-10-07 20:01:18 +02:00
|
|
|
|
|
|
|
|
XXE_FAMILY = XXE_
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
$display("XXE_ is defined");
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 555 "t/t_preproc.v" 0
|
2017-10-07 20:01:18 +02:00
|
|
|
|
|
|
|
|
XYE_FAMILY = XYE_
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
$display("XYE_ is defined");
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 562 "t/t_preproc.v" 0
|
2017-10-07 20:01:18 +02:00
|
|
|
|
|
|
|
|
XXS_FAMILY = XXS_some
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
$display("XXS_some is defined");
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 569 "t/t_preproc.v" 0
|
2017-10-07 20:01:18 +02:00
|
|
|
|
|
|
|
|
XYS_FAMILY = XYS_foo
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
$display("XYS_foo is defined");
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 576 "t/t_preproc.v" 0
|
2017-10-07 20:01:18 +02:00
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 578 "t/t_preproc.v" 0
|
2017-10-07 20:01:18 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 586 "t/t_preproc.v" 0
|
2017-10-07 20:01:18 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 593 "t/t_preproc.v" 0
|
2017-10-07 20:01:18 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 600 "t/t_preproc.v" 0
|
2017-10-07 20:01:18 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 607 "t/t_preproc.v" 0
|
2017-10-07 20:01:18 +02:00
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 609 "t/t_preproc.v" 0
|
2017-10-07 20:01:18 +02:00
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 611 "t/t_preproc.v" 0
|
2012-02-26 03:31:36 +01:00
|
|
|
|
2013-01-17 01:11:56 +01:00
|
|
|
|
2017-10-11 00:44:10 +02:00
|
|
|
(.mySig (myInterface.pa5),
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 615 "t/t_preproc.v" 0
|
2017-10-11 00:44:10 +02:00
|
|
|
|
|
|
|
|
|
2018-06-21 14:19:59 +02:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 618 "t/t_preproc.v" 0
|
2018-06-21 14:19:59 +02:00
|
|
|
|
|
|
|
|
`dbg_hdl(UVM_LOW, ("Functional coverage enabled: paramgrp"));
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 621 "t/t_preproc.v" 0
|
2018-06-21 14:19:59 +02:00
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 629 "t/t_preproc.v" 0
|
2018-06-21 14:19:59 +02:00
|
|
|
module pcc2_cfg;
|
|
|
|
|
generate
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 631 "t/t_preproc.v" 0
|
2018-06-21 14:19:59 +02:00
|
|
|
covergroup a @(posedge b);
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 631 "t/t_preproc.v" 0
|
2018-06-21 14:19:59 +02:00
|
|
|
c: coverpoint d iff ((c) === 1'b1); endgroup
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 631 "t/t_preproc.v" 0
|
2018-06-21 14:19:59 +02:00
|
|
|
a u_a;
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 631 "t/t_preproc.v" 0
|
2018-06-21 14:19:59 +02:00
|
|
|
initial do begin $display ("DEBUG : %s [%m]", $sformatf ("Functional coverage enabled: u_a")); end while(0);
|
|
|
|
|
endgenerate
|
|
|
|
|
endmodule
|
|
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 635 "t/t_preproc.v" 0
|
2018-06-21 14:19:59 +02:00
|
|
|
|
|
|
|
|
|
2013-01-17 01:11:56 +01:00
|
|
|
|
|
|
|
|
predef 0 0
|
|
|
|
|
predef 1 1
|
|
|
|
|
predef 2 2
|
|
|
|
|
predef 3 3
|
|
|
|
|
predef 10 10
|
|
|
|
|
predef 11 11
|
|
|
|
|
predef 20 20
|
|
|
|
|
predef 21 21
|
|
|
|
|
predef 22 22
|
|
|
|
|
predef 23 23
|
|
|
|
|
predef -2 -2
|
|
|
|
|
predef -1 -1
|
|
|
|
|
predef 0 0
|
|
|
|
|
predef 1 1
|
|
|
|
|
predef 2 2
|
|
|
|
|
|
2018-10-26 01:45:06 +02:00
|
|
|
|
|
|
|
|
|
2013-01-17 01:11:56 +01:00
|
|
|
|
2020-03-21 16:24:24 +01:00
|
|
|
`line 657 "t/t_preproc.v" 2
|