2020-06-15 04:54:23 +02:00
|
|
|
module M(data);
|
|
|
|
|
parameter A = 1;
|
|
|
|
|
parameter WIDTH = 32;
|
|
|
|
|
parameter B = 2;
|
2020-06-20 00:26:45 +02:00
|
|
|
localparam OFFSET = 1;
|
|
|
|
|
input wire [WIDTH-OFFSET:0] data;
|
2020-06-15 04:54:23 +02:00
|
|
|
initial begin
|
|
|
|
|
$display("A %b", A);
|
|
|
|
|
$display("I.P %b", data);
|
|
|
|
|
$display("B %b", B);
|
|
|
|
|
end
|
|
|
|
|
endmodule
|
|
|
|
|
|
|
|
|
|
module top;
|
2020-08-09 04:43:47 +02:00
|
|
|
generate
|
2021-06-17 21:21:16 +02:00
|
|
|
if (1) begin : x
|
2020-08-09 04:43:47 +02:00
|
|
|
wire [31:0] data = 0;
|
|
|
|
|
end
|
2021-06-17 21:21:16 +02:00
|
|
|
if (1) begin : y
|
2020-08-09 04:43:47 +02:00
|
|
|
wire [9:0] data = 0;
|
|
|
|
|
end
|
|
|
|
|
endgenerate
|
|
|
|
|
M #(.WIDTH(32)) a(x.data);
|
|
|
|
|
M #(.WIDTH(10)) b(y.data);
|
|
|
|
|
M #(3, 32, 4) c(x.data);
|
|
|
|
|
M #(5, 10, 6) d(y.data);
|
2020-06-15 04:54:23 +02:00
|
|
|
endmodule
|