mirror of https://github.com/openXC7/prjxray.git
Add roi_tiles TCL helper function, remove XRAY_HCLK_[LR]
Signed-off-by: Clifford Wolf <clifford@clifford.at>
This commit is contained in:
parent
fd35afc32c
commit
9f9c348bfd
|
|
@ -13,7 +13,5 @@ export XRAY_PIN_03="D21"
|
|||
export XRAY_PIN_04="G21"
|
||||
export XRAY_PIN_05="G22"
|
||||
export XRAY_PIN_06="F21"
|
||||
export XRAY_HCLK_L="HCLK_L_X36Y130"
|
||||
export XRAY_HCLK_R="HCLK_R_X37Y130"
|
||||
|
||||
source $(dirname ${BASH_SOURCE[0]})/../../utils/environment.sh
|
||||
|
|
|
|||
|
|
@ -14,7 +14,5 @@ export XRAY_PIN_03="L25"
|
|||
export XRAY_PIN_04="M19"
|
||||
export XRAY_PIN_05="M20"
|
||||
export XRAY_PIN_06="M21"
|
||||
export XRAY_HCLK_L="HCLK_L_X25Y78"
|
||||
export XRAY_HCLK_R="HCLK_R_X26Y78"
|
||||
|
||||
source $(dirname ${BASH_SOURCE[0]})/../../utils/environment.sh
|
||||
|
|
|
|||
|
|
@ -21,8 +21,8 @@ write_checkpoint -force design.dcp
|
|||
|
||||
source ../../../utils/utils.tcl
|
||||
|
||||
if [regexp "_001$" [pwd]] {set tile [get_tiles $::env(XRAY_HCLK_L)]}
|
||||
if [regexp "_002$" [pwd]] {set tile [get_tiles $::env(XRAY_HCLK_R)]}
|
||||
if [regexp "_001$" [pwd]] {set tile [lindex [filter [roi_tiles] {TILE_TYPE == HCLK_L}] 0]}
|
||||
if [regexp "_002$" [pwd]] {set tile [lindex [filter [roi_tiles] {TILE_TYPE == HCLK_R}] 0]}
|
||||
|
||||
set net [get_nets o_OBUF]
|
||||
set pips [get_pips -of_objects $tile]
|
||||
|
|
|
|||
|
|
@ -20,6 +20,8 @@ route_design
|
|||
write_checkpoint -force design.dcp
|
||||
# write_bitstream -force design.bit
|
||||
|
||||
source ../../../utils/utils.tcl
|
||||
|
||||
proc print_tile_pair {fp t1 t2} {
|
||||
set t1 [get_tiles $t1]
|
||||
set t2 [get_tiles $t2]
|
||||
|
|
@ -47,11 +49,7 @@ proc print_tile_pair {fp t1 t2} {
|
|||
}
|
||||
}
|
||||
|
||||
set tiles [get_tiles -filter "GRID_POINT_X >= $::env(XRAY_ROI_GRID_X1) && \
|
||||
GRID_POINT_X < $::env(XRAY_ROI_GRID_X2) && \
|
||||
GRID_POINT_Y >= $::env(XRAY_ROI_GRID_Y1) && \
|
||||
GRID_POINT_Y < $::env(XRAY_ROI_GRID_Y2)"]
|
||||
|
||||
set tiles [roi_tiles]
|
||||
set horz_cache [dict create]
|
||||
set vert_cache [dict create]
|
||||
|
||||
|
|
|
|||
|
|
@ -55,6 +55,13 @@ proc randplace_pblock {num pblock} {
|
|||
}
|
||||
}
|
||||
|
||||
proc roi_tiles {} {
|
||||
return [get_tiles -filter "GRID_POINT_X >= $::env(XRAY_ROI_GRID_X1) && \
|
||||
GRID_POINT_X < $::env(XRAY_ROI_GRID_X2) && \
|
||||
GRID_POINT_Y >= $::env(XRAY_ROI_GRID_Y1) && \
|
||||
GRID_POINT_Y < $::env(XRAY_ROI_GRID_Y2)"]
|
||||
}
|
||||
|
||||
proc pblock_tiles {pblock} {
|
||||
set clb_tiles [get_tiles -of_objects [get_sites -of_objects [get_pblocks $pblock]]]
|
||||
set int_tiles [get_tiles [regsub -all {CLBL[LM]} $clb_tiles INT]]
|
||||
|
|
|
|||
Loading…
Reference in New Issue