hclkpips: build dir

Signed-off-by: John McMaster <johndmcmaster@gmail.com>
This commit is contained in:
John McMaster 2018-12-19 18:46:51 -08:00
parent d2cd93917b
commit 9b960b0ccd
4 changed files with 19 additions and 21 deletions

View File

@ -1,4 +1,2 @@
/specimen_*/
/seg_hclk_[lr].segbits
/mask_hclk_[lr].segbits
/ppips_hclk_[lr].txt
build
run.ok

View File

@ -1,24 +1,24 @@
N := 2
SPECIMENS := $(addprefix specimen_,$(shell seq -f '%03.0f' $(N)))
SPECIMENS := $(addprefix build/specimen_,$(shell seq -f '%03.0f' $(N)))
SPECIMENS_OK := $(addsuffix /OK,$(SPECIMENS))
database: $(SPECIMENS_OK)
${XRAY_SEGMATCH} -o segbits_hclk_l.db $(addsuffix /segdata_hclk_l_design_*.txt,$(SPECIMENS))
${XRAY_SEGMATCH} -o segbits_hclk_r.db $(addsuffix /segdata_hclk_r_design_*.txt,$(SPECIMENS))
${XRAY_SEGMATCH} -o build/segbits_hclk_l.db $(addsuffix /segdata_hclk_l_design_*.txt,$(SPECIMENS))
${XRAY_SEGMATCH} -o build/segbits_hclk_r.db $(addsuffix /segdata_hclk_r_design_*.txt,$(SPECIMENS))
${XRAY_MASKMERGE} mask_hclk_l.db $(addsuffix /segdata_hclk_l_design_*.txt,$(SPECIMENS))
${XRAY_MASKMERGE} mask_hclk_r.db $(addsuffix /segdata_hclk_r_design_*.txt,$(SPECIMENS))
grep CK_INOUT segbits_hclk_l.db | sed 's, .*, always,' > ppips_hclk_l.txt
grep CK_INOUT segbits_hclk_r.db | sed 's, .*, always,' > ppips_hclk_r.txt
grep CK_INOUT segbits_hclk_l.db | sed 's, .*, always,' > build/ppips_hclk_l.txt
grep CK_INOUT segbits_hclk_r.db | sed 's, .*, always,' > build/ppips_hclk_r.txt
pushdb:
${XRAY_DBFIXUP} --db-root . --clb-int
${XRAY_MERGEDB} hclk_l segbits_hclk_l.db
${XRAY_MERGEDB} hclk_r segbits_hclk_r.db
${XRAY_MERGEDB} mask_hclk_l mask_hclk_l.db
${XRAY_MERGEDB} mask_hclk_r mask_hclk_r.db
cp ppips_hclk_l.txt ${XRAY_DATABASE_DIR}/$(XRAY_DATABASE)/ppips_hclk_l.db
cp ppips_hclk_r.txt ${XRAY_DATABASE_DIR}/$(XRAY_DATABASE)/ppips_hclk_r.db
${XRAY_MERGEDB} hclk_l build/segbits_hclk_l.db
${XRAY_MERGEDB} hclk_r build/segbits_hclk_r.db
${XRAY_MERGEDB} mask_hclk_l build/mask_hclk_l.db
${XRAY_MERGEDB} mask_hclk_r build/mask_hclk_r.db
cp build/ppips_hclk_l.txt ${XRAY_DATABASE_DIR}/$(XRAY_DATABASE)/ppips_hclk_l.db
cp build/ppips_hclk_r.txt ${XRAY_DATABASE_DIR}/$(XRAY_DATABASE)/ppips_hclk_r.db
$(SPECIMENS_OK):
bash generate.sh $(subst /OK,,$@)
@ -30,7 +30,7 @@ run:
$(MAKE) pushdb
clean:
rm -rf specimen_[0-9][0-9][0-9]/ seg_hclk_[lr].db mask_hclk_[lr].segbits ppips_hclk_[lr].txt run.ok
rm -rf build run.ok
.PHONY: database pushdb run clean

View File

@ -2,11 +2,11 @@
source ${XRAY_GENHEADER}
vivado -mode batch -source ../generate.tcl
vivado -mode batch -source $FUZDIR/generate.tcl
for x in design_*.bit; do
${XRAY_BITREAD} -F $XRAY_ROI_FRAMES -o ${x}s -z -y ${x}
done
python3 ../generate.py $(ls design_*.bit | cut -f1 -d.)
python3 $FUZDIR/generate.py $(ls design_*.bit | cut -f1 -d.)

View File

@ -1,6 +1,8 @@
source "$::env(XRAY_DIR)/utils/utils.tcl"
create_project -force -part $::env(XRAY_PART) design design
read_verilog ../top.v
read_verilog $::env(FUZDIR)/top.v
synth_design -top top
set_property -dict "PACKAGE_PIN $::env(XRAY_PIN_00) IOSTANDARD LVCMOS33" [get_ports i]
@ -19,8 +21,6 @@ route_design
write_checkpoint -force design.dcp
source ../../../utils/utils.tcl
if [regexp "_001$" [pwd]] {set tile [lindex [filter [roi_tiles] {TILE_TYPE == HCLK_L}] 0]}
if [regexp "_002$" [pwd]] {set tile [lindex [filter [roi_tiles] {TILE_TYPE == HCLK_R}] 0]}