mirror of https://github.com/openXC7/prjxray.git
Merge branch 'master' into partial_reconfig_flow_fasm
This commit is contained in:
commit
3e0a64343c
|
|
@ -37,8 +37,8 @@ if it doesn't already exist. The following TCL will load the fully-routed
|
|||
harness, load your synthesized design, and generate a bitstream containing
|
||||
both:
|
||||
```
|
||||
open_checkpoint -force harness_routed.dcp
|
||||
read_checkpoint -cell <design>.dcp
|
||||
open_checkpoint harness_routed.dcp
|
||||
read_checkpoint -cell roi <design>.dcp
|
||||
opt_design
|
||||
place_design
|
||||
route_design
|
||||
|
|
|
|||
Loading…
Reference in New Issue