2020-05-06 06:05:23 +02:00
|
|
|
# Copyright (C) 2017-2020 The Project X-Ray Authors
|
|
|
|
|
#
|
|
|
|
|
# Use of this source code is governed by a ISC-style
|
|
|
|
|
# license that can be found in the LICENSE file or at
|
|
|
|
|
# https://opensource.org/licenses/ISC
|
|
|
|
|
#
|
|
|
|
|
# SPDX-License-Identifier: ISC
|
2019-02-12 18:54:51 +01:00
|
|
|
source "$::env(XRAY_DIR)/utils/utils.tcl"
|
|
|
|
|
|
2019-02-12 22:22:29 +01:00
|
|
|
proc write_pip_txtdata {filename} {
|
|
|
|
|
puts "FUZ([pwd]): Writing $filename."
|
|
|
|
|
set fp [open $filename w]
|
|
|
|
|
set nets [get_nets -hierarchical]
|
|
|
|
|
set nnets [llength $nets]
|
|
|
|
|
set neti 0
|
|
|
|
|
foreach net $nets {
|
|
|
|
|
incr neti
|
|
|
|
|
if {($neti % 100) == 0 } {
|
|
|
|
|
puts "FUZ([pwd]): Dumping pips from net $net ($neti / $nnets)"
|
|
|
|
|
}
|
|
|
|
|
foreach pip [get_pips -of_objects $net] {
|
|
|
|
|
set tile [get_tiles -of_objects $pip]
|
|
|
|
|
set src_wire [get_wires -uphill -of_objects $pip]
|
|
|
|
|
set dst_wire [get_wires -downhill -of_objects $pip]
|
|
|
|
|
set num_pips [llength [get_nodes -uphill -of_objects [get_nodes -of_objects $dst_wire]]]
|
|
|
|
|
set dir_prop [get_property IS_DIRECTIONAL $pip]
|
|
|
|
|
puts $fp "$tile $pip $src_wire $dst_wire $num_pips $dir_prop"
|
|
|
|
|
}
|
|
|
|
|
}
|
|
|
|
|
close $fp
|
|
|
|
|
}
|
|
|
|
|
|
2019-02-12 18:54:51 +01:00
|
|
|
proc write_route_data {filename} {
|
|
|
|
|
set fp [open $filename w]
|
|
|
|
|
foreach net [get_nets -hierarchical] {
|
|
|
|
|
puts $fp "Net $net route:"
|
|
|
|
|
puts $fp [report_route_status -of_objects $net -return_string]
|
|
|
|
|
puts $fp ""
|
|
|
|
|
}
|
|
|
|
|
close $fp
|
|
|
|
|
}
|
|
|
|
|
|
|
|
|
|
proc run {} {
|
|
|
|
|
create_project -force -part $::env(XRAY_PART) design design
|
|
|
|
|
read_verilog top.v
|
|
|
|
|
synth_design -top top
|
|
|
|
|
|
|
|
|
|
set_property CFGBVS VCCO [current_design]
|
|
|
|
|
set_property CONFIG_VOLTAGE 3.3 [current_design]
|
|
|
|
|
set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design]
|
|
|
|
|
|
|
|
|
|
place_design
|
|
|
|
|
route_design
|
|
|
|
|
|
|
|
|
|
write_checkpoint -force design.dcp
|
|
|
|
|
write_bitstream -force design.bit
|
2019-02-12 22:22:29 +01:00
|
|
|
write_route_data route.txt
|
|
|
|
|
write_pip_txtdata pips.txt
|
2019-02-12 18:54:51 +01:00
|
|
|
}
|
|
|
|
|
|
|
|
|
|
run
|