iverilog/ivtest/ivltests/sv_ps_function_fail3.v

18 lines
279 B
Verilog

// Check that an error is reported when trying to call a package scoped task as
// a function.
package P;
task t(int x);
endtask
endpackage
module test;
initial begin
int y;
y = P::t(10); // This should fail, t is a task
$display("FAILED");
end
endmodule