Add the sv_strings6 test to check string.itoa et al.
This commit is contained in:
parent
e6bab92274
commit
fbc91ec7cf
|
|
@ -0,0 +1,56 @@
|
|||
// Test the various string.Xtoa() methods
|
||||
|
||||
module testbench;
|
||||
string str;
|
||||
int val;
|
||||
real valr;
|
||||
|
||||
|
||||
task test_string_value(string str, string reference);
|
||||
if (str != reference) begin
|
||||
$display("FAILED -- str=%0s, should be %s", str, reference);
|
||||
$finish;
|
||||
end
|
||||
endtask // test_string_value
|
||||
|
||||
initial begin
|
||||
val = 11;
|
||||
valr = 11.1;
|
||||
|
||||
str.itoa(val);
|
||||
test_string_value(str, "11");
|
||||
|
||||
str.hextoa(val);
|
||||
test_string_value(str, "b");
|
||||
|
||||
str.octtoa(val);
|
||||
test_string_value(str, "13");
|
||||
|
||||
str.bintoa(val);
|
||||
test_string_value(str, "1011");
|
||||
|
||||
str.realtoa(valr);
|
||||
test_string_value(str, "11.1");
|
||||
|
||||
val = -11;
|
||||
valr = -11.1;
|
||||
|
||||
str.itoa(val);
|
||||
test_string_value(str, "-11");
|
||||
|
||||
str.hextoa(val);
|
||||
test_string_value(str, "-b");
|
||||
|
||||
str.octtoa(val);
|
||||
test_string_value(str, "-13");
|
||||
|
||||
str.bintoa(val);
|
||||
test_string_value(str, "-1011");
|
||||
|
||||
str.realtoa(valr);
|
||||
test_string_value(str, "-11.1");
|
||||
|
||||
$display("PASSED");
|
||||
|
||||
end
|
||||
endmodule
|
||||
|
|
@ -525,6 +525,7 @@ sv_string2 normal,-g2009 ivltests
|
|||
sv_string3 normal,-g2009 ivltests
|
||||
sv_string4 normal,-g2009 ivltests
|
||||
sv_string5 normal,-g2009 ivltests
|
||||
sv_string6 normal,-g2009 ivltests
|
||||
sv_timeunit_prec1 normal,-g2005-sv ivltests
|
||||
sv_timeunit_prec2 normal,-g2009 ivltests
|
||||
sv_timeunit_prec3a normal,-g2005-sv ivltests gold=sv_timeunit_prec3a.gold
|
||||
|
|
|
|||
Loading…
Reference in New Issue