Add regression test for br_gh674.
This commit is contained in:
parent
82caccd4eb
commit
50ae8cf552
|
|
@ -0,0 +1,27 @@
|
|||
module test();
|
||||
|
||||
function integer array_value(input integer idx);
|
||||
|
||||
reg [31:0] local_array[1:-1];
|
||||
integer i;
|
||||
|
||||
begin
|
||||
for (i = -2; i <= 2; i = i + 1) local_array[i] = i;
|
||||
array_value = local_array[idx];
|
||||
end
|
||||
endfunction
|
||||
|
||||
localparam avm2 = array_value(-2);
|
||||
localparam avm1 = array_value(-1);
|
||||
localparam av0 = array_value(0);
|
||||
localparam avp1 = array_value(1);
|
||||
localparam avp2 = array_value(2);
|
||||
|
||||
initial begin
|
||||
if (avm2 === 'bx && avm1 === -1 && av0 === 0 && avp1 === 1 && avp2 === 'bx)
|
||||
$display("PASSED");
|
||||
else
|
||||
$display("FAILED");
|
||||
end
|
||||
|
||||
endmodule
|
||||
|
|
@ -346,6 +346,7 @@ br_gh621 normal ivltests
|
|||
br_gh632 normal ivltests
|
||||
br_gh632b normal,-S ivltests
|
||||
br_gh632c normal ivltests
|
||||
br_gh674 normal ivltests
|
||||
br_ml20150315 normal ivltests gold=br_ml_20150315.gold
|
||||
br_ml20150321 CE ivltests
|
||||
br_ml20150606 normal ivltests
|
||||
|
|
|
|||
Loading…
Reference in New Issue