Add regression test for part select on vector declared in package

Check that it is possible to do a part select on a vector declared in a
package.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
This commit is contained in:
Lars-Peter Clausen 2022-03-05 13:18:41 +01:00
parent b207ebd51a
commit 41b4ce5f8c
2 changed files with 21 additions and 0 deletions

View File

@ -0,0 +1,20 @@
// Check that it is possible to do a part select on a vector declared in
// package
package P;
reg [7:0] x = 8'h5a;
reg [1:0][7:0] y = 16'h5af0;
endpackage
module test;
initial begin
if (P::x[3:0] == 4'ha && P::x[7:4] == 4'h5 &&
P::y[0] == 8'hf0 && P::y[1] == 8'h5a) begin
$display("PASSED");
end else begin
$display("FAILED");
end
end
endmodule

View File

@ -322,6 +322,7 @@ net_class_fail CE,-g2005-sv ivltests
net_darray_fail CE,-g2005-sv ivltests
net_queue_fail CE,-g2005-sv ivltests
net_string_fail CE,-g2005-sv ivltests
package_vec_part_select normal,-g2005-sv ivltests
packeda normal,-g2009 ivltests
packeda2 normal,-g2009 ivltests
parameter_in_generate2 CE,-g2005-sv ivltests