Add regression test for vvp quiet flag.

This commit is contained in:
Martin Whitaker 2024-12-31 13:13:24 +00:00
parent adb6a2f454
commit 0119f0d1e8
5 changed files with 21 additions and 0 deletions

View File

@ -0,0 +1,3 @@
This should be suppressed
This should be suppressed
ivltests/vvp_quiet_mode.v:7: $finish(1) called at 0 (1s)

View File

@ -0,0 +1 @@
This should be displayed

View File

@ -0,0 +1,10 @@
module test();
initial begin
$display("This should be suppressed");
$fdisplay(32'h00000001, "This should be suppressed");
$fdisplay(32'h80000001, "This should be displayed");
$finish(1);
end
endmodule

View File

@ -276,6 +276,7 @@ vams_abs3 vvp_tests/vams_abs3.json
vams_abs3-vlog95 vvp_tests/vams_abs3-vlog95.json
vardly_undefined_vec vvp_tests/vardly_undefined_vec.json
va_math vvp_tests/va_math.json
vvp_quiet_mode vvp_tests/vvp_quiet_mode.json
warn_opt_sys_tf vvp_tests/warn_opt_sys_tf.json
wreal vvp_tests/wreal.json
writemem-invalid vvp_tests/writemem-invalid.json

View File

@ -0,0 +1,6 @@
{
"type" : "normal",
"source" : "vvp_quiet_mode.v",
"gold" : "vvp_quiet_mode",
"vvp-args" : [ "-ql-" ]
}