add makefile for openxc7 run (NOT YET WORKING)
This commit is contained in:
parent
53fb8bee6a
commit
d3a0204ab5
|
|
@ -0,0 +1,70 @@
|
|||
PROJECT = ax7325b_ddr3
|
||||
FAMILY = kintex7
|
||||
PART = xc7k325tffg900-2
|
||||
CHIPDB = ${KINTEX7_CHIPDB}
|
||||
ADDITIONAL_SOURCES = ../../rtl/ddr3_controller.v ../../rtl/ddr3_phy.v ../../rtl/ddr3_top.v uart_rx.v uart_tx.v clk_wiz.v
|
||||
|
||||
#############################################################################################
|
||||
NEXTPNR_XILINX_DIR ?= /snap/openxc7/current/opt/nextpnr-xilinx
|
||||
NEXTPNR_XILINX_PYTHON_DIR ?= ${NEXTPNR_XILINX_DIR}/python
|
||||
PRJXRAY_DB_DIR ?= ${NEXTPNR_XILINX_DIR}/external/prjxray-db
|
||||
|
||||
DBPART = $(shell echo ${PART} | sed -e 's/-[0-9]//g')
|
||||
SPEEDGRADE = $(shell echo ${PART} | sed -e 's/.*\-\([0-9]\)/\1/g')
|
||||
|
||||
CHIPDB ?= ./
|
||||
ifeq ($(CHIPDB),)
|
||||
CHIPDB = ./
|
||||
endif
|
||||
|
||||
PYPY3 ?= pypy3
|
||||
|
||||
TOP ?= ${PROJECT}
|
||||
TOP_MODULE ?= ${TOP}
|
||||
TOP_VERILOG ?= ${TOP}.v
|
||||
|
||||
PNR_DEBUG ?= # --verbose --debug
|
||||
|
||||
BOARD ?= UNKNOWN
|
||||
JTAG_LINK ?= --board ${BOARD}
|
||||
|
||||
XDC ?= ${PROJECT}.xdc
|
||||
|
||||
.PHONY: all
|
||||
all: ${PROJECT}.bit
|
||||
|
||||
.PHONY: program
|
||||
program: ${PROJECT}.bit
|
||||
openFPGALoader ${JTAG_LINK} --bitstream $<
|
||||
|
||||
${PROJECT}.json: ${TOP_VERILOG} ${ADDITIONAL_SOURCES}
|
||||
yosys -p "synth_xilinx -flatten -abc9 ${SYNTH_OPTS} -arch xc7 -top ${TOP_MODULE}; write_json ${PROJECT}.json" $< ${ADDITIONAL_SOURCES}
|
||||
|
||||
# The chip database only needs to be generated once
|
||||
# that is why we don't clean it with make clean
|
||||
${CHIPDB}/${DBPART}.bin:
|
||||
${PYPY3} ${NEXTPNR_XILINX_PYTHON_DIR}/bbaexport.py --device ${PART} --bba ${DBPART}.bba
|
||||
bbasm -l ${DBPART}.bba ${CHIPDB}/${DBPART}.bin
|
||||
rm -f ${DBPART}.bba
|
||||
|
||||
${PROJECT}.fasm: ${PROJECT}.json ${CHIPDB}/${DBPART}.bin ${XDC}
|
||||
nextpnr-xilinx --chipdb ${CHIPDB}/${DBPART}.bin --xdc ${XDC} --json ${PROJECT}.json --fasm $@ ${PNR_ARGS} ${PNR_DEBUG}
|
||||
|
||||
${PROJECT}.frames: ${PROJECT}.fasm
|
||||
fasm2frames --part ${PART} --db-root ${PRJXRAY_DB_DIR}/${FAMILY} $< > $@
|
||||
|
||||
${PROJECT}.bit: ${PROJECT}.frames
|
||||
xc7frames2bit --part_file ${PRJXRAY_DB_DIR}/${FAMILY}/${PART}/part.yaml --part_name ${PART} --frm_file $< --output_file $@
|
||||
|
||||
.PHONY: clean
|
||||
clean:
|
||||
@rm -f *.bit
|
||||
@rm -f *.frames
|
||||
@rm -f *.fasm
|
||||
@rm -f *.json
|
||||
@rm -f *.bin
|
||||
@rm -f *.bba
|
||||
|
||||
.PHONY: pnrclean
|
||||
pnrclean:
|
||||
rm *.fasm *.frames *.bit
|
||||
|
|
@ -0,0 +1,222 @@
|
|||
////////////////////////////////////////////////////////////////////////////////
|
||||
//
|
||||
// Filename: ax7325b_ddr3.v
|
||||
// Project: UberDDR3 - An Open Source DDR3 Controller
|
||||
//
|
||||
// Purpose: Example demo of UberDDR3 for AX7325B FPGA board from ALINX (xc7k325tffg900-2).
|
||||
// Mechanism:
|
||||
// - four LEDs will light up once UberDDR3 is done calibrating
|
||||
// - if UART (9600 Baud Rate)receives small letter ASCII (a-z), this value will be written to DDR3
|
||||
// - if UART receives capital letter ASCII (A-Z), the small letter equivalent will be retrieved from DDR3 by doing
|
||||
// - a read request, once read data is available this will be sent to UART to be streamed out.
|
||||
// THUS:
|
||||
// - Sendng "abcdefg" to the UART terminal will store that small latter to DDR3
|
||||
// - Then sending "ABCDEFG" to the UART terminal will return the small letter equivalent: "abcdefg"
|
||||
//
|
||||
// Engineer: Angelo C. Jacobo
|
||||
//
|
||||
////////////////////////////////////////////////////////////////////////////////
|
||||
//
|
||||
// Copyright (C) 2023-2024 Angelo Jacobo
|
||||
//
|
||||
// This program is free software: you can redistribute it and/or modify
|
||||
// it under the terms of the GNU General Public License as published by
|
||||
// the Free Software Foundation, either version 3 of the License, or
|
||||
// (at your option) any later version.
|
||||
//
|
||||
// This program is distributed in the hope that it will be useful,
|
||||
// but WITHOUT ANY WARRANTY; without even the implied warranty of
|
||||
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
|
||||
// GNU General Public License for more details.
|
||||
//
|
||||
// You should have received a copy of the GNU General Public License
|
||||
// along with this program. If not, see <https://www.gnu.org/licenses/>.
|
||||
//
|
||||
////////////////////////////////////////////////////////////////////////////////
|
||||
|
||||
`timescale 1ns / 1ps
|
||||
|
||||
module ax7325b_ddr3
|
||||
(
|
||||
//Differential system clocks
|
||||
input wire sys_clk_p, sys_clk_n,
|
||||
input wire i_rst_n,
|
||||
output wire fan_pwm,
|
||||
// DDR3 I/O Interface
|
||||
output wire ddr3_ck_p, ddr3_ck_n,
|
||||
output wire ddr3_reset_n,
|
||||
output wire ddr3_cke,
|
||||
output wire ddr3_cs_n,
|
||||
output wire ddr3_ras_n,
|
||||
output wire ddr3_cas_n,
|
||||
output wire ddr3_we_n,
|
||||
output wire[15-1:0] ddr3_addr,
|
||||
output wire[3-1:0] ddr3_ba,
|
||||
inout wire[64-1:0] ddr3_dq,
|
||||
inout wire[8-1:0] ddr3_dqs_p, ddr3_dqs_n,
|
||||
output wire[8-1:0] ddr3_dm,
|
||||
output wire ddr3_odt,
|
||||
// UART line
|
||||
input wire rx,
|
||||
output wire tx,
|
||||
//Debug LEDs
|
||||
output wire[3:0] led
|
||||
);
|
||||
// for dual-rank, all [0:0] will become [1:0]
|
||||
wire i_controller_clk, i_ddr3_clk, i_ref_clk, i_ddr3_clk_90;
|
||||
wire m_axis_tvalid;
|
||||
wire rx_empty;
|
||||
wire tx_full;
|
||||
wire o_wb_ack;
|
||||
wire[7:0] o_wb_data;
|
||||
wire o_aux;
|
||||
wire[7:0] rd_data;
|
||||
wire o_wb_stall;
|
||||
reg i_wb_stb = 0, i_wb_we;
|
||||
wire[63:0] o_debug1;
|
||||
reg[7:0] i_wb_data;
|
||||
reg[7:0] i_wb_addr;
|
||||
// o_debug1 taps on value of state_calibrate (can be traced inside ddr3_controller module)
|
||||
assign led[0] = (o_debug1[4:0] == 23); //light up if at DONE_CALIBRATE
|
||||
assign led[1] = (o_debug1[4:0] == 23); //light up if at DONE_CALIBRATE
|
||||
assign led[2] = (o_debug1[4:0] == 23); //light up if at DONE_CALIBRATE
|
||||
assign led[3] = (o_debug1[4:0] == 23); //light up if at DONE_CALIBRATE
|
||||
assign fan_pwm = 1'b0; // turn on fan
|
||||
//assign ddr3_cs_n[1] = 1'b1;
|
||||
|
||||
//===========================================================================
|
||||
//Differentia system clock to single end clock
|
||||
//===========================================================================
|
||||
wire sys_clk; // 200MHz
|
||||
IBUFGDS u_ibufg_sys_clk
|
||||
(
|
||||
.I (sys_clk_p),
|
||||
.IB (sys_clk_n),
|
||||
.O (sys_clk)
|
||||
);
|
||||
|
||||
always @(posedge i_controller_clk) begin
|
||||
begin
|
||||
i_wb_stb <= 0;
|
||||
i_wb_we <= 0;
|
||||
i_wb_addr <= 0;
|
||||
i_wb_data <= 0;
|
||||
if(!o_wb_stall && m_axis_tvalid) begin
|
||||
if(rd_data >= 97 && rd_data <= 122) begin //write to DDR3 if ASCII is small letter
|
||||
i_wb_stb <= 1;
|
||||
i_wb_we <= 1;
|
||||
i_wb_addr <= ~rd_data ;
|
||||
i_wb_data <= rd_data;
|
||||
end
|
||||
else if(rd_data >= 65 && rd_data <= 90) begin //read from DDR3 if ASCII is capital letter
|
||||
i_wb_stb <= 1; //make request
|
||||
i_wb_we <= 0; //read
|
||||
i_wb_addr <= ~(rd_data + 8'd32);
|
||||
end
|
||||
end
|
||||
end
|
||||
end
|
||||
|
||||
wire clk_locked;
|
||||
clk_wiz clk_wiz_inst
|
||||
(
|
||||
// Clock out ports
|
||||
.clk_out1(i_controller_clk), //83.3333 Mhz
|
||||
.clk_out2(i_ddr3_clk), // 333.333 MHz
|
||||
.clk_out3(i_ref_clk), // 200 MHz
|
||||
.clk_out4(i_ddr3_clk_90), // 333.333 MHz with 90 degree shift
|
||||
// Status and control signals
|
||||
.reset(!i_rst_n),
|
||||
.locked(clk_locked),
|
||||
// Clock in ports
|
||||
.clk_in1(sys_clk)
|
||||
);
|
||||
|
||||
// // UART module from https://github.com/alexforencich/verilog-uart
|
||||
// uart #(.DATA_WIDTH(8)) uart_m
|
||||
// (
|
||||
// .clk(i_controller_clk),
|
||||
// .rst(!i_rst_n),
|
||||
// .s_axis_tdata(o_wb_data),
|
||||
// .s_axis_tvalid(o_wb_ack),
|
||||
// .s_axis_tready(),
|
||||
// .m_axis_tdata(rd_data),
|
||||
// .m_axis_tvalid(m_axis_tvalid),
|
||||
// .m_axis_tready(1),
|
||||
// .rxd(rx),
|
||||
// .txd(tx),
|
||||
// .prescale(1085) //9600 Baud Rate: 83.3333MHz/(8*9600)
|
||||
// );
|
||||
|
||||
// DDR3 Controller
|
||||
ddr3_top #(
|
||||
.CONTROLLER_CLK_PERIOD(12_000), //ps, clock period of the controller interface
|
||||
.DDR3_CLK_PERIOD(3_000), //ps, clock period of the DDR3 RAM device (must be 1/4 of the CONTROLLER_CLK_PERIOD)
|
||||
.ROW_BITS(15), //width of row address
|
||||
.COL_BITS(10), //width of column address
|
||||
.BA_BITS(3), //width of bank address
|
||||
.BYTE_LANES(8), //number of DDR3 modules to be controlled
|
||||
.AUX_WIDTH(16), //width of aux line (must be >= 4)
|
||||
.WB2_ADDR_BITS(32), //width of 2nd wishbone address bus
|
||||
.WB2_DATA_BITS(32), //width of 2nd wishbone data bus
|
||||
.MICRON_SIM(0), //enable faster simulation for micron ddr3 model (shorten POWER_ON_RESET_HIGH and INITIAL_CKE_LOW)
|
||||
.ODELAY_SUPPORTED(1), //set to 1 when ODELAYE2 is supported
|
||||
.SECOND_WISHBONE(0), //set to 1 if 2nd wishbone is needed
|
||||
.ECC_ENABLE(0), // set to 1 or 2 to add ECC (1 = Side-band ECC per burst, 2 = Side-band ECC per 8 bursts , 3 = Inline ECC )
|
||||
.WB_ERROR(0) // set to 1 to support Wishbone error (asserts at ECC double bit error)
|
||||
) ddr3_top
|
||||
(
|
||||
//clock and reset
|
||||
.i_controller_clk(i_controller_clk),
|
||||
.i_ddr3_clk(i_ddr3_clk), //i_controller_clk has period of CONTROLLER_CLK_PERIOD, i_ddr3_clk has period of DDR3_CLK_PERIOD
|
||||
.i_ref_clk(i_ref_clk),
|
||||
.i_ddr3_clk_90(i_ddr3_clk_90),
|
||||
.i_rst_n(i_rst_n && clk_locked),
|
||||
// Wishbone inputs
|
||||
.i_wb_cyc(1), //bus cycle active (1 = normal operation, 0 = all ongoing transaction are to be cancelled)
|
||||
.i_wb_stb(i_wb_stb), //request a transfer
|
||||
.i_wb_we(i_wb_we), //write-enable (1 = write, 0 = read)
|
||||
.i_wb_addr(i_wb_addr), //burst-addressable {row,bank,col}
|
||||
.i_wb_data(i_wb_data), //write data, for a 4:1 controller data width is 8 times the number of pins on the device
|
||||
.i_wb_sel(16'hffff), //byte strobe for write (1 = write the byte)
|
||||
.i_aux(i_wb_we), //for AXI-interface compatibility (given upon strobe)
|
||||
// Wishbone outputs
|
||||
.o_wb_stall(o_wb_stall), //1 = busy, cannot accept requests
|
||||
.o_wb_ack(o_wb_ack), //1 = read/write request has completed
|
||||
.o_wb_data(o_wb_data), //read data, for a 4:1 controller data width is 8 times the number of pins on the device
|
||||
.o_aux(o_aux),
|
||||
// Wishbone 2 (PHY) inputs
|
||||
.i_wb2_cyc(), //bus cycle active (1 = normal operation, 0 = all ongoing transaction are to be cancelled)
|
||||
.i_wb2_stb(), //request a transfer
|
||||
.i_wb2_we(), //write-enable (1 = write, 0 = read)
|
||||
.i_wb2_addr(), //burst-addressable {row,bank,col}
|
||||
.i_wb2_data(), //write data, for a 4:1 controller data width is 8 times the number of pins on the device
|
||||
.i_wb2_sel(), //byte strobe for write (1 = write the byte)
|
||||
// Wishbone 2 (Controller) outputs
|
||||
.o_wb2_stall(), //1 = busy, cannot accept requests
|
||||
.o_wb2_ack(), //1 = read/write request has completed
|
||||
.o_wb2_data(), //read data, for a 4:1 controller data width is 8 times the number of pins on the device
|
||||
// PHY Interface (to be added later)
|
||||
// DDR3 I/O Interface
|
||||
.o_ddr3_clk_p(ddr3_ck_p),
|
||||
.o_ddr3_clk_n(ddr3_ck_n),
|
||||
.o_ddr3_reset_n(ddr3_reset_n),
|
||||
.o_ddr3_cke(ddr3_cke), // CKE
|
||||
.o_ddr3_cs_n(ddr3_cs_n[0]), // chip select signal (controls rank 1 only)
|
||||
.o_ddr3_ras_n(ddr3_ras_n), // RAS#
|
||||
.o_ddr3_cas_n(ddr3_cas_n), // CAS#
|
||||
.o_ddr3_we_n(ddr3_we_n), // WE#
|
||||
.o_ddr3_addr(ddr3_addr),
|
||||
.o_ddr3_ba_addr(ddr3_ba),
|
||||
.io_ddr3_dq(ddr3_dq),
|
||||
.io_ddr3_dqs(ddr3_dqs_p),
|
||||
.io_ddr3_dqs_n(ddr3_dqs_n),
|
||||
.o_ddr3_dm(ddr3_dm),
|
||||
.o_ddr3_odt(ddr3_odt), // on-die termination
|
||||
.o_debug1(o_debug1),
|
||||
.o_debug2(),
|
||||
.o_debug3()
|
||||
);
|
||||
|
||||
endmodule
|
||||
|
||||
|
|
@ -0,0 +1,759 @@
|
|||
############## clock define##################
|
||||
create_clock -period 5.000 [get_ports sys_clk_p]
|
||||
set_property PACKAGE_PIN AE10 [get_ports sys_clk_p]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports sys_clk_p]
|
||||
|
||||
# no need to constrain N side (only P side) or else tool will analyze interclock oaths and show failure in timing
|
||||
# https://support.xilinx.com/s/article/57109?language=en_US
|
||||
#create_clock -period 5.000 [get_ports sys_clk_n]
|
||||
set_property PACKAGE_PIN AF10 [get_ports sys_clk_n]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports sys_clk_n]
|
||||
|
||||
|
||||
############## key define##################
|
||||
set_property PACKAGE_PIN AG27 [get_ports i_rst_n]
|
||||
set_property IOSTANDARD LVCMOS25 [get_ports i_rst_n]
|
||||
|
||||
|
||||
############## fan define##################
|
||||
set_property IOSTANDARD LVCMOS25 [get_ports fan_pwm]
|
||||
set_property PACKAGE_PIN AE26 [get_ports fan_pwm]
|
||||
|
||||
|
||||
##############LED define##################
|
||||
set_property PACKAGE_PIN A22 [get_ports {led[0]}]
|
||||
set_property IOSTANDARD LVCMOS15 [get_ports {led[0]}]
|
||||
|
||||
set_property PACKAGE_PIN C19 [get_ports {led[1]}]
|
||||
set_property IOSTANDARD LVCMOS15 [get_ports {led[1]}]
|
||||
|
||||
set_property PACKAGE_PIN B19 [get_ports {led[2]}]
|
||||
set_property IOSTANDARD LVCMOS15 [get_ports {led[2]}]
|
||||
|
||||
set_property PACKAGE_PIN E18 [get_ports {led[3]}]
|
||||
set_property IOSTANDARD LVCMOS15 [get_ports {led[3]}]
|
||||
|
||||
|
||||
##############uart define###########################
|
||||
set_property IOSTANDARD LVCMOS25 [get_ports rx]
|
||||
set_property PACKAGE_PIN AJ26 [get_ports rx]
|
||||
|
||||
set_property IOSTANDARD LVCMOS25 [get_ports tx]
|
||||
set_property PACKAGE_PIN AK26 [get_ports tx]
|
||||
|
||||
############## NET - IOSTANDARD ##################
|
||||
|
||||
|
||||
############## NET - IOSTANDARD ##################
|
||||
|
||||
|
||||
# PadFunction: IO_L13P_T2_MRCC_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[0]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[0]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[0]}]
|
||||
set_property PACKAGE_PIN AD18 [get_ports {ddr3_dq[0]}]
|
||||
|
||||
# PadFunction: IO_L16N_T2_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[1]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[1]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[1]}]
|
||||
set_property PACKAGE_PIN AB18 [get_ports {ddr3_dq[1]}]
|
||||
|
||||
# PadFunction: IO_L14P_T2_SRCC_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[2]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[2]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[2]}]
|
||||
set_property PACKAGE_PIN AD17 [get_ports {ddr3_dq[2]}]
|
||||
|
||||
# PadFunction: IO_L17P_T2_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[3]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[3]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[3]}]
|
||||
set_property PACKAGE_PIN AB19 [get_ports {ddr3_dq[3]}]
|
||||
|
||||
# PadFunction: IO_L14N_T2_SRCC_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[4]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[4]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[4]}]
|
||||
set_property PACKAGE_PIN AD16 [get_ports {ddr3_dq[4]}]
|
||||
|
||||
# PadFunction: IO_L17N_T2_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[5]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[5]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[5]}]
|
||||
set_property PACKAGE_PIN AC19 [get_ports {ddr3_dq[5]}]
|
||||
|
||||
# PadFunction: IO_L13N_T2_MRCC_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[6]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[6]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[6]}]
|
||||
set_property PACKAGE_PIN AE18 [get_ports {ddr3_dq[6]}]
|
||||
|
||||
# PadFunction: IO_L18P_T2_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[7]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[7]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[7]}]
|
||||
set_property PACKAGE_PIN AB17 [get_ports {ddr3_dq[7]}]
|
||||
|
||||
# PadFunction: IO_L8P_T1_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[8]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[8]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[8]}]
|
||||
set_property PACKAGE_PIN AG19 [get_ports {ddr3_dq[8]}]
|
||||
|
||||
# PadFunction: IO_L7N_T1_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[9]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[9]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[9]}]
|
||||
set_property PACKAGE_PIN AK19 [get_ports {ddr3_dq[9]}]
|
||||
|
||||
# PadFunction: IO_L10P_T1_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[10]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[10]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[10]}]
|
||||
set_property PACKAGE_PIN AD19 [get_ports {ddr3_dq[10]}]
|
||||
|
||||
# PadFunction: IO_L7P_T1_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[11]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[11]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[11]}]
|
||||
set_property PACKAGE_PIN AJ19 [get_ports {ddr3_dq[11]}]
|
||||
|
||||
# PadFunction: IO_L11P_T1_SRCC_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[12]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[12]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[12]}]
|
||||
set_property PACKAGE_PIN AF18 [get_ports {ddr3_dq[12]}]
|
||||
|
||||
# PadFunction: IO_L8N_T1_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[13]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[13]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[13]}]
|
||||
set_property PACKAGE_PIN AH19 [get_ports {ddr3_dq[13]}]
|
||||
|
||||
# PadFunction: IO_L10N_T1_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[14]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[14]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[14]}]
|
||||
set_property PACKAGE_PIN AE19 [get_ports {ddr3_dq[14]}]
|
||||
|
||||
# PadFunction: IO_L11N_T1_SRCC_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[15]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[15]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[15]}]
|
||||
set_property PACKAGE_PIN AG18 [get_ports {ddr3_dq[15]}]
|
||||
|
||||
# PadFunction: IO_L1N_T0_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[16]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[16]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[16]}]
|
||||
set_property PACKAGE_PIN AK15 [get_ports {ddr3_dq[16]}]
|
||||
|
||||
# PadFunction: IO_L5N_T0_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[17]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[17]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[17]}]
|
||||
set_property PACKAGE_PIN AJ17 [get_ports {ddr3_dq[17]}]
|
||||
|
||||
# PadFunction: IO_L2N_T0_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[18]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[18]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[18]}]
|
||||
set_property PACKAGE_PIN AH15 [get_ports {ddr3_dq[18]}]
|
||||
|
||||
# PadFunction: IO_L4P_T0_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[19]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[19]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[19]}]
|
||||
set_property PACKAGE_PIN AF15 [get_ports {ddr3_dq[19]}]
|
||||
|
||||
# PadFunction: IO_L4N_T0_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[20]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[20]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[20]}]
|
||||
set_property PACKAGE_PIN AG14 [get_ports {ddr3_dq[20]}]
|
||||
|
||||
# PadFunction: IO_L5P_T0_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[21]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[21]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[21]}]
|
||||
set_property PACKAGE_PIN AH17 [get_ports {ddr3_dq[21]}]
|
||||
|
||||
# PadFunction: IO_L2P_T0_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[22]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[22]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[22]}]
|
||||
set_property PACKAGE_PIN AG15 [get_ports {ddr3_dq[22]}]
|
||||
|
||||
# PadFunction: IO_L1P_T0_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[23]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[23]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[23]}]
|
||||
set_property PACKAGE_PIN AK16 [get_ports {ddr3_dq[23]}]
|
||||
|
||||
# PadFunction: IO_L19P_T3_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[24]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[24]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[24]}]
|
||||
set_property PACKAGE_PIN AE15 [get_ports {ddr3_dq[24]}]
|
||||
|
||||
# PadFunction: IO_L24P_T3_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[25]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[25]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[25]}]
|
||||
set_property PACKAGE_PIN Y16 [get_ports {ddr3_dq[25]}]
|
||||
|
||||
# PadFunction: IO_L22P_T3_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[26]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[26]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[26]}]
|
||||
set_property PACKAGE_PIN AC14 [get_ports {ddr3_dq[26]}]
|
||||
|
||||
# PadFunction: IO_L20P_T3_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[27]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[27]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[27]}]
|
||||
set_property PACKAGE_PIN AA15 [get_ports {ddr3_dq[27]}]
|
||||
|
||||
# PadFunction: IO_L23P_T3_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[28]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[28]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[28]}]
|
||||
set_property PACKAGE_PIN AA17 [get_ports {ddr3_dq[28]}]
|
||||
|
||||
# PadFunction: IO_L22N_T3_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[29]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[29]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[29]}]
|
||||
set_property PACKAGE_PIN AD14 [get_ports {ddr3_dq[29]}]
|
||||
|
||||
# PadFunction: IO_L23N_T3_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[30]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[30]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[30]}]
|
||||
set_property PACKAGE_PIN AA16 [get_ports {ddr3_dq[30]}]
|
||||
|
||||
# PadFunction: IO_L20N_T3_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[31]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[31]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[31]}]
|
||||
set_property PACKAGE_PIN AB15 [get_ports {ddr3_dq[31]}]
|
||||
|
||||
# PadFunction: IO_L22N_T3_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[32]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[32]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[32]}]
|
||||
set_property PACKAGE_PIN AK6 [get_ports {ddr3_dq[32]}]
|
||||
|
||||
# PadFunction: IO_L23P_T3_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[33]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[33]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[33]}]
|
||||
set_property PACKAGE_PIN AJ8 [get_ports {ddr3_dq[33]}]
|
||||
|
||||
# PadFunction: IO_L22P_T3_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[34]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[34]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[34]}]
|
||||
set_property PACKAGE_PIN AJ6 [get_ports {ddr3_dq[34]}]
|
||||
|
||||
# PadFunction: IO_L19P_T3_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[35]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[35]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[35]}]
|
||||
set_property PACKAGE_PIN AF8 [get_ports {ddr3_dq[35]}]
|
||||
|
||||
# PadFunction: IO_L24N_T3_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[36]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[36]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[36]}]
|
||||
set_property PACKAGE_PIN AK4 [get_ports {ddr3_dq[36]}]
|
||||
|
||||
# PadFunction: IO_L23N_T3_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[37]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[37]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[37]}]
|
||||
set_property PACKAGE_PIN AK8 [get_ports {ddr3_dq[37]}]
|
||||
|
||||
# PadFunction: IO_L24P_T3_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[38]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[38]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[38]}]
|
||||
set_property PACKAGE_PIN AK5 [get_ports {ddr3_dq[38]}]
|
||||
|
||||
# PadFunction: IO_L20N_T3_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[39]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[39]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[39]}]
|
||||
set_property PACKAGE_PIN AG7 [get_ports {ddr3_dq[39]}]
|
||||
|
||||
# PadFunction: IO_L10P_T1_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[40]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[40]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[40]}]
|
||||
set_property PACKAGE_PIN AE4 [get_ports {ddr3_dq[40]}]
|
||||
|
||||
# PadFunction: IO_L8N_T1_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[41]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[41]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[41]}]
|
||||
set_property PACKAGE_PIN AF1 [get_ports {ddr3_dq[41]}]
|
||||
|
||||
# PadFunction: IO_L11P_T1_SRCC_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[42]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[42]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[42]}]
|
||||
set_property PACKAGE_PIN AE5 [get_ports {ddr3_dq[42]}]
|
||||
|
||||
# PadFunction: IO_L8P_T1_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[43]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[43]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[43]}]
|
||||
set_property PACKAGE_PIN AE1 [get_ports {ddr3_dq[43]}]
|
||||
|
||||
# PadFunction: IO_L12P_T1_MRCC_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[44]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[44]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[44]}]
|
||||
set_property PACKAGE_PIN AF6 [get_ports {ddr3_dq[44]}]
|
||||
|
||||
# PadFunction: IO_L10N_T1_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[45]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[45]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[45]}]
|
||||
set_property PACKAGE_PIN AE3 [get_ports {ddr3_dq[45]}]
|
||||
|
||||
# PadFunction: IO_L11N_T1_SRCC_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[46]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[46]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[46]}]
|
||||
set_property PACKAGE_PIN AF5 [get_ports {ddr3_dq[46]}]
|
||||
|
||||
# PadFunction: IO_L7N_T1_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[47]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[47]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[47]}]
|
||||
set_property PACKAGE_PIN AF2 [get_ports {ddr3_dq[47]}]
|
||||
|
||||
# PadFunction: IO_L13P_T2_MRCC_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[48]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[48]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[48]}]
|
||||
set_property PACKAGE_PIN AH4 [get_ports {ddr3_dq[48]}]
|
||||
|
||||
# PadFunction: IO_L16N_T2_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[49]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[49]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[49]}]
|
||||
set_property PACKAGE_PIN AJ2 [get_ports {ddr3_dq[49]}]
|
||||
|
||||
# PadFunction: IO_L14N_T2_SRCC_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[50]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[50]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[50]}]
|
||||
set_property PACKAGE_PIN AH5 [get_ports {ddr3_dq[50]}]
|
||||
|
||||
# PadFunction: IO_L13N_T2_MRCC_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[51]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[51]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[51]}]
|
||||
set_property PACKAGE_PIN AJ4 [get_ports {ddr3_dq[51]}]
|
||||
|
||||
# PadFunction: IO_L16P_T2_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[52]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[52]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[52]}]
|
||||
set_property PACKAGE_PIN AH2 [get_ports {ddr3_dq[52]}]
|
||||
|
||||
# PadFunction: IO_L17N_T2_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[53]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[53]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[53]}]
|
||||
set_property PACKAGE_PIN AK1 [get_ports {ddr3_dq[53]}]
|
||||
|
||||
# PadFunction: IO_L14P_T2_SRCC_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[54]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[54]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[54]}]
|
||||
set_property PACKAGE_PIN AH6 [get_ports {ddr3_dq[54]}]
|
||||
|
||||
# PadFunction: IO_L17P_T2_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[55]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[55]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[55]}]
|
||||
set_property PACKAGE_PIN AJ1 [get_ports {ddr3_dq[55]}]
|
||||
|
||||
# PadFunction: IO_L2P_T0_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[56]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[56]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[56]}]
|
||||
set_property PACKAGE_PIN AC2 [get_ports {ddr3_dq[56]}]
|
||||
|
||||
# PadFunction: IO_L4P_T0_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[57]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[57]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[57]}]
|
||||
set_property PACKAGE_PIN AC5 [get_ports {ddr3_dq[57]}]
|
||||
|
||||
# PadFunction: IO_L1N_T0_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[58]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[58]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[58]}]
|
||||
set_property PACKAGE_PIN AD3 [get_ports {ddr3_dq[58]}]
|
||||
|
||||
# PadFunction: IO_L6P_T0_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[59]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[59]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[59]}]
|
||||
set_property PACKAGE_PIN AC7 [get_ports {ddr3_dq[59]}]
|
||||
|
||||
# PadFunction: IO_L5N_T0_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[60]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[60]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[60]}]
|
||||
set_property PACKAGE_PIN AE6 [get_ports {ddr3_dq[60]}]
|
||||
|
||||
# PadFunction: IO_L5P_T0_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[61]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[61]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[61]}]
|
||||
set_property PACKAGE_PIN AD6 [get_ports {ddr3_dq[61]}]
|
||||
|
||||
# PadFunction: IO_L2N_T0_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[62]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[62]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[62]}]
|
||||
set_property PACKAGE_PIN AC1 [get_ports {ddr3_dq[62]}]
|
||||
|
||||
# PadFunction: IO_L4N_T0_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[63]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[63]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[63]}]
|
||||
set_property PACKAGE_PIN AC4 [get_ports {ddr3_dq[63]}]
|
||||
|
||||
# PadFunction: IO_L15P_T2_DQS_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[14]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[14]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[14]}]
|
||||
set_property PACKAGE_PIN AJ9 [get_ports {ddr3_addr[14]}]
|
||||
|
||||
# PadFunction: IO_L7N_T1_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[13]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[13]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[13]}]
|
||||
set_property PACKAGE_PIN AC10 [get_ports {ddr3_addr[13]}]
|
||||
|
||||
# PadFunction: IO_L7P_T1_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[12]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[12]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[12]}]
|
||||
set_property PACKAGE_PIN AB10 [get_ports {ddr3_addr[12]}]
|
||||
|
||||
# PadFunction: IO_L8P_T1_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[11]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[11]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[11]}]
|
||||
set_property PACKAGE_PIN AD8 [get_ports {ddr3_addr[11]}]
|
||||
|
||||
# PadFunction: IO_L6P_T0_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[10]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[10]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[10]}]
|
||||
set_property PACKAGE_PIN AA13 [get_ports {ddr3_addr[10]}]
|
||||
|
||||
# PadFunction: IO_L5N_T0_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[9]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[9]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[9]}]
|
||||
set_property PACKAGE_PIN AA10 [get_ports {ddr3_addr[9]}]
|
||||
|
||||
# PadFunction: IO_L5P_T0_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[8]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[8]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[8]}]
|
||||
set_property PACKAGE_PIN AA11 [get_ports {ddr3_addr[8]}]
|
||||
|
||||
# PadFunction: IO_L4N_T0_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[7]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[7]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[7]}]
|
||||
set_property PACKAGE_PIN Y10 [get_ports {ddr3_addr[7]}]
|
||||
|
||||
# PadFunction: IO_L6N_T0_VREF_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[6]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[6]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[6]}]
|
||||
set_property PACKAGE_PIN AB13 [get_ports {ddr3_addr[6]}]
|
||||
|
||||
# PadFunction: IO_L3N_T0_DQS_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[5]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[5]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[5]}]
|
||||
set_property PACKAGE_PIN AC9 [get_ports {ddr3_addr[5]}]
|
||||
|
||||
# PadFunction: IO_L3P_T0_DQS_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[4]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[4]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[4]}]
|
||||
set_property PACKAGE_PIN AB9 [get_ports {ddr3_addr[4]}]
|
||||
|
||||
# PadFunction: IO_L2N_T0_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[3]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[3]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[3]}]
|
||||
set_property PACKAGE_PIN AB8 [get_ports {ddr3_addr[3]}]
|
||||
|
||||
# PadFunction: IO_L2P_T0_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[2]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[2]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[2]}]
|
||||
set_property PACKAGE_PIN AA8 [get_ports {ddr3_addr[2]}]
|
||||
|
||||
# PadFunction: IO_L1N_T0_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[1]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[1]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[1]}]
|
||||
set_property PACKAGE_PIN AB12 [get_ports {ddr3_addr[1]}]
|
||||
|
||||
# PadFunction: IO_L1P_T0_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[0]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[0]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[0]}]
|
||||
set_property PACKAGE_PIN AA12 [get_ports {ddr3_addr[0]}]
|
||||
|
||||
# PadFunction: IO_L9N_T1_DQS_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_ba[2]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_ba[2]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_ba[2]}]
|
||||
set_property PACKAGE_PIN AC11 [get_ports {ddr3_ba[2]}]
|
||||
|
||||
# PadFunction: IO_L9P_T1_DQS_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_ba[1]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_ba[1]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_ba[1]}]
|
||||
set_property PACKAGE_PIN AC12 [get_ports {ddr3_ba[1]}]
|
||||
|
||||
# PadFunction: IO_L8N_T1_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_ba[0]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_ba[0]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_ba[0]}]
|
||||
set_property PACKAGE_PIN AE8 [get_ports {ddr3_ba[0]}]
|
||||
|
||||
# PadFunction: IO_L10N_T1_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_ras_n}]
|
||||
set_property SLEW FAST [get_ports {ddr3_ras_n}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_ras_n}]
|
||||
set_property PACKAGE_PIN AE9 [get_ports {ddr3_ras_n}]
|
||||
|
||||
# PadFunction: IO_L11P_T1_SRCC_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_cas_n}]
|
||||
set_property SLEW FAST [get_ports {ddr3_cas_n}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_cas_n}]
|
||||
set_property PACKAGE_PIN AE11 [get_ports {ddr3_cas_n}]
|
||||
|
||||
# PadFunction: IO_L10P_T1_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_we_n}]
|
||||
set_property SLEW FAST [get_ports {ddr3_we_n}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_we_n}]
|
||||
set_property PACKAGE_PIN AD9 [get_ports {ddr3_we_n}]
|
||||
|
||||
# PadFunction: IO_L4P_T0_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_reset_n}]
|
||||
set_property SLEW FAST [get_ports {ddr3_reset_n}]
|
||||
set_property IOSTANDARD LVCMOS15 [get_ports {ddr3_reset_n}]
|
||||
set_property PACKAGE_PIN Y11 [get_ports {ddr3_reset_n}]
|
||||
|
||||
# PadFunction: IO_L12P_T1_MRCC_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_cke}]
|
||||
set_property SLEW FAST [get_ports {ddr3_cke}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_cke}]
|
||||
set_property PACKAGE_PIN AD12 [get_ports {ddr3_cke}]
|
||||
|
||||
# PadFunction: IO_L12N_T1_MRCC_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_odt}]
|
||||
set_property SLEW FAST [get_ports {ddr3_odt}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_odt}]
|
||||
set_property PACKAGE_PIN AD11 [get_ports {ddr3_odt}]
|
||||
|
||||
# PadFunction: IO_L11N_T1_SRCC_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_cs_n}]
|
||||
set_property SLEW FAST [get_ports {ddr3_cs_n}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_cs_n}]
|
||||
set_property PACKAGE_PIN AF11 [get_ports {ddr3_cs_n}]
|
||||
|
||||
# PadFunction: IO_L16P_T2_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dm[0]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dm[0]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dm[0]}]
|
||||
set_property PACKAGE_PIN AA18 [get_ports {ddr3_dm[0]}]
|
||||
|
||||
# PadFunction: IO_L12P_T1_MRCC_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dm[1]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dm[1]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dm[1]}]
|
||||
set_property PACKAGE_PIN AF17 [get_ports {ddr3_dm[1]}]
|
||||
|
||||
# PadFunction: IO_L6P_T0_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dm[2]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dm[2]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dm[2]}]
|
||||
set_property PACKAGE_PIN AE16 [get_ports {ddr3_dm[2]}]
|
||||
|
||||
# PadFunction: IO_L24N_T3_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dm[3]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dm[3]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dm[3]}]
|
||||
set_property PACKAGE_PIN Y15 [get_ports {ddr3_dm[3]}]
|
||||
|
||||
# PadFunction: IO_L20P_T3_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dm[4]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dm[4]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dm[4]}]
|
||||
set_property PACKAGE_PIN AF7 [get_ports {ddr3_dm[4]}]
|
||||
|
||||
# PadFunction: IO_L7P_T1_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dm[5]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dm[5]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dm[5]}]
|
||||
set_property PACKAGE_PIN AF3 [get_ports {ddr3_dm[5]}]
|
||||
|
||||
# PadFunction: IO_L18P_T2_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dm[6]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dm[6]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dm[6]}]
|
||||
set_property PACKAGE_PIN AJ3 [get_ports {ddr3_dm[6]}]
|
||||
|
||||
# PadFunction: IO_L1P_T0_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dm[7]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dm[7]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dm[7]}]
|
||||
set_property PACKAGE_PIN AD4 [get_ports {ddr3_dm[7]}]
|
||||
|
||||
# PadFunction: IO_L15P_T2_DQS_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_p[0]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_p[0]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dqs_p[0]}]
|
||||
set_property PACKAGE_PIN Y19 [get_ports {ddr3_dqs_p[0]}]
|
||||
|
||||
# PadFunction: IO_L15N_T2_DQS_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_n[0]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_n[0]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dqs_n[0]}]
|
||||
set_property PACKAGE_PIN Y18 [get_ports {ddr3_dqs_n[0]}]
|
||||
|
||||
# PadFunction: IO_L9P_T1_DQS_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_p[1]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_p[1]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dqs_p[1]}]
|
||||
set_property PACKAGE_PIN AJ18 [get_ports {ddr3_dqs_p[1]}]
|
||||
|
||||
# PadFunction: IO_L9N_T1_DQS_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_n[1]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_n[1]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dqs_n[1]}]
|
||||
set_property PACKAGE_PIN AK18 [get_ports {ddr3_dqs_n[1]}]
|
||||
|
||||
# PadFunction: IO_L3P_T0_DQS_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_p[2]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_p[2]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dqs_p[2]}]
|
||||
set_property PACKAGE_PIN AH16 [get_ports {ddr3_dqs_p[2]}]
|
||||
|
||||
# PadFunction: IO_L3N_T0_DQS_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_n[2]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_n[2]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dqs_n[2]}]
|
||||
set_property PACKAGE_PIN AJ16 [get_ports {ddr3_dqs_n[2]}]
|
||||
|
||||
# PadFunction: IO_L21P_T3_DQS_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_p[3]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_p[3]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dqs_p[3]}]
|
||||
set_property PACKAGE_PIN AC16 [get_ports {ddr3_dqs_p[3]}]
|
||||
|
||||
# PadFunction: IO_L21N_T3_DQS_32
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_n[3]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_n[3]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dqs_n[3]}]
|
||||
set_property PACKAGE_PIN AC15 [get_ports {ddr3_dqs_n[3]}]
|
||||
|
||||
# PadFunction: IO_L21P_T3_DQS_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_p[4]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_p[4]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dqs_p[4]}]
|
||||
set_property PACKAGE_PIN AH7 [get_ports {ddr3_dqs_p[4]}]
|
||||
|
||||
# PadFunction: IO_L21N_T3_DQS_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_n[4]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_n[4]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dqs_n[4]}]
|
||||
set_property PACKAGE_PIN AJ7 [get_ports {ddr3_dqs_n[4]}]
|
||||
|
||||
# PadFunction: IO_L9P_T1_DQS_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_p[5]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_p[5]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dqs_p[5]}]
|
||||
set_property PACKAGE_PIN AG4 [get_ports {ddr3_dqs_p[5]}]
|
||||
|
||||
# PadFunction: IO_L9N_T1_DQS_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_n[5]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_n[5]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dqs_n[5]}]
|
||||
set_property PACKAGE_PIN AG3 [get_ports {ddr3_dqs_n[5]}]
|
||||
|
||||
# PadFunction: IO_L15P_T2_DQS_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_p[6]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_p[6]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dqs_p[6]}]
|
||||
set_property PACKAGE_PIN AG2 [get_ports {ddr3_dqs_p[6]}]
|
||||
|
||||
# PadFunction: IO_L15N_T2_DQS_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_n[6]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_n[6]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dqs_n[6]}]
|
||||
set_property PACKAGE_PIN AH1 [get_ports {ddr3_dqs_n[6]}]
|
||||
|
||||
# PadFunction: IO_L3P_T0_DQS_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_p[7]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_p[7]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dqs_p[7]}]
|
||||
set_property PACKAGE_PIN AD2 [get_ports {ddr3_dqs_p[7]}]
|
||||
|
||||
# PadFunction: IO_L3N_T0_DQS_34
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_n[7]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_n[7]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dqs_n[7]}]
|
||||
set_property PACKAGE_PIN AD1 [get_ports {ddr3_dqs_n[7]}]
|
||||
|
||||
# PadFunction: IO_L13P_T2_MRCC_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_ck_p}]
|
||||
set_property SLEW FAST [get_ports {ddr3_ck_p}]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_ck_p}]
|
||||
set_property PACKAGE_PIN AG10 [get_ports {ddr3_ck_p}]
|
||||
|
||||
# PadFunction: IO_L13N_T2_MRCC_33
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_ck_n}]
|
||||
set_property SLEW FAST [get_ports {ddr3_ck_n}]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_ck_n}]
|
||||
set_property PACKAGE_PIN AH10 [get_ports {ddr3_ck_n}]
|
||||
|
||||
|
||||
|
||||
|
||||
#############SPI Configurate Setting##################
|
||||
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]
|
||||
set_property CONFIG_MODE SPIx4 [current_design]
|
||||
set_property BITSTREAM.CONFIG.CONFIGRATE 50 [current_design]
|
||||
set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
|
||||
set_property BITSTREAM.CONFIG.UNUSEDPIN Pullup [current_design]
|
||||
set_property CFGBVS VCCO [current_design]
|
||||
set_property CONFIG_VOLTAGE 3.3 [current_design]
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
||||
|
|
@ -0,0 +1,66 @@
|
|||
`timescale 1ps/1ps
|
||||
|
||||
module clk_wiz
|
||||
(
|
||||
input clk_in1,
|
||||
output clk_out1,
|
||||
output clk_out2,
|
||||
output clk_out3,
|
||||
output clk_out4,
|
||||
input reset,
|
||||
output locked
|
||||
);
|
||||
wire clk_out1_clk_wiz_0;
|
||||
wire clk_out2_clk_wiz_0;
|
||||
wire clk_out3_clk_wiz_0;
|
||||
wire clk_out4_clk_wiz_0;
|
||||
|
||||
|
||||
wire clkfbout;
|
||||
|
||||
PLLE2_ADV
|
||||
#(.BANDWIDTH ("OPTIMIZED"),
|
||||
.COMPENSATION ("INTERNAL"),
|
||||
.STARTUP_WAIT ("FALSE"),
|
||||
.DIVCLK_DIVIDE (1),
|
||||
.CLKFBOUT_MULT (5), // 200 MHz * 5 = 1000 MHz
|
||||
.CLKFBOUT_PHASE (0.000),
|
||||
.CLKOUT0_DIVIDE (12), // 1000 MHz / 12 = 83.333 MHz
|
||||
.CLKOUT0_PHASE (0.000),
|
||||
.CLKOUT0_DUTY_CYCLE (0.500),
|
||||
.CLKOUT1_DIVIDE (3), // 1000 MHz / 3 = 333.333 MHz, 0 phase
|
||||
.CLKOUT1_PHASE (0.000),
|
||||
.CLKOUT1_DUTY_CYCLE (0.500),
|
||||
.CLKOUT2_DIVIDE (5), // 1000 MHz / 5 = 200 MHz
|
||||
.CLKOUT2_PHASE (0.000),
|
||||
.CLKOUT2_DUTY_CYCLE (0.500),
|
||||
.CLKOUT3_DIVIDE (3), // 1000 MHz / 3 = 333.333 MHz, 90 phase
|
||||
.CLKOUT3_PHASE (90.000),
|
||||
.CLKOUT3_DUTY_CYCLE (0.500),
|
||||
.CLKIN1_PERIOD (5.000) // 200 MHz input
|
||||
)
|
||||
plle2_adv_inst
|
||||
(
|
||||
.CLKFBOUT (clkfbout),
|
||||
.CLKOUT0 (clk_out1_clk_wiz_0),
|
||||
.CLKOUT1 (clk_out2_clk_wiz_0),
|
||||
.CLKOUT2 (clk_out3_clk_wiz_0),
|
||||
.CLKOUT3 (clk_out4_clk_wiz_0),
|
||||
.CLKFBIN (clkfbout),
|
||||
.CLKIN1 (clk_in1),
|
||||
.LOCKED (locked),
|
||||
.RST (reset)
|
||||
);
|
||||
BUFG clkout1_buf
|
||||
(.O (clk_out1),
|
||||
.I (clk_out1_clk_wiz_0));
|
||||
BUFG clkout2_buf
|
||||
(.O (clk_out2),
|
||||
.I (clk_out2_clk_wiz_0));
|
||||
BUFG clkout3_buf
|
||||
(.O (clk_out3),
|
||||
.I (clk_out3_clk_wiz_0));
|
||||
BUFG clkout4_buf
|
||||
(.O (clk_out4),
|
||||
.I (clk_out4_clk_wiz_0));
|
||||
endmodule
|
||||
|
|
@ -0,0 +1,207 @@
|
|||
|
||||
//
|
||||
// Module: uart_rx
|
||||
//
|
||||
// Notes:
|
||||
// - UART reciever module.
|
||||
//
|
||||
|
||||
module uart_rx(
|
||||
input wire clk , // Top level system clock input.
|
||||
input wire resetn , // Asynchronous active low reset.
|
||||
input wire uart_rxd , // UART Recieve pin.
|
||||
input wire uart_rx_en , // Recieve enable
|
||||
output wire uart_rx_break, // Did we get a BREAK message?
|
||||
output wire uart_rx_valid, // Valid data recieved and available.
|
||||
output reg [PAYLOAD_BITS-1:0] uart_rx_data // The recieved data.
|
||||
);
|
||||
|
||||
// ---------------------------------------------------------------------------
|
||||
// External parameters.
|
||||
//
|
||||
|
||||
//
|
||||
// Input bit rate of the UART line.
|
||||
parameter BIT_RATE = 9600; // bits / sec
|
||||
localparam BIT_P = 1_000_000_000 * 1/BIT_RATE; // nanoseconds
|
||||
|
||||
//
|
||||
// Clock frequency in hertz.
|
||||
parameter CLK_HZ = 50_000_000;
|
||||
localparam CLK_P = 1_000_000_000 * 1/CLK_HZ; // nanoseconds
|
||||
|
||||
//
|
||||
// Number of data bits recieved per UART packet.
|
||||
parameter PAYLOAD_BITS = 8;
|
||||
|
||||
//
|
||||
// Number of stop bits indicating the end of a packet.
|
||||
parameter STOP_BITS = 1;
|
||||
|
||||
// --------------------------------------------------------------------------
|
||||
// Internal parameters.
|
||||
//
|
||||
|
||||
//
|
||||
// Number of clock cycles per uart bit.
|
||||
localparam CYCLES_PER_BIT = BIT_P / CLK_P;
|
||||
|
||||
//
|
||||
// Size of the registers which store sample counts and bit durations.
|
||||
localparam COUNT_REG_LEN = 1+$clog2(CYCLES_PER_BIT);
|
||||
|
||||
// --------------------------------------------------------------------------
|
||||
// Internal registers.
|
||||
//
|
||||
|
||||
//
|
||||
// Internally latched value of the uart_rxd line. Helps break long timing
|
||||
// paths from input pins into the logic.
|
||||
reg rxd_reg;
|
||||
reg rxd_reg_0;
|
||||
|
||||
//
|
||||
// Storage for the recieved serial data.
|
||||
reg [PAYLOAD_BITS-1:0] recieved_data;
|
||||
|
||||
//
|
||||
// Counter for the number of cycles over a packet bit.
|
||||
reg [COUNT_REG_LEN-1:0] cycle_counter;
|
||||
|
||||
//
|
||||
// Counter for the number of recieved bits of the packet.
|
||||
reg [3:0] bit_counter;
|
||||
|
||||
//
|
||||
// Sample of the UART input line whenever we are in the middle of a bit frame.
|
||||
reg bit_sample;
|
||||
|
||||
//
|
||||
// Current and next states of the internal FSM.
|
||||
reg [2:0] fsm_state;
|
||||
reg [2:0] n_fsm_state;
|
||||
|
||||
localparam FSM_IDLE = 0;
|
||||
localparam FSM_START= 1;
|
||||
localparam FSM_RECV = 2;
|
||||
localparam FSM_STOP = 3;
|
||||
|
||||
// ---------------------------------------------------------------------------
|
||||
// Output assignment
|
||||
//
|
||||
|
||||
assign uart_rx_break = uart_rx_valid && ~|recieved_data;
|
||||
assign uart_rx_valid = fsm_state == FSM_STOP && n_fsm_state == FSM_IDLE;
|
||||
|
||||
always @(posedge clk) begin
|
||||
if(!resetn) begin
|
||||
uart_rx_data <= {PAYLOAD_BITS{1'b0}};
|
||||
end else if (fsm_state == FSM_STOP) begin
|
||||
uart_rx_data <= recieved_data;
|
||||
end
|
||||
end
|
||||
|
||||
// ---------------------------------------------------------------------------
|
||||
// FSM next state selection.
|
||||
//
|
||||
|
||||
wire next_bit = cycle_counter == CYCLES_PER_BIT ||
|
||||
fsm_state == FSM_STOP &&
|
||||
cycle_counter == CYCLES_PER_BIT/2;
|
||||
wire payload_done = bit_counter == PAYLOAD_BITS ;
|
||||
|
||||
//
|
||||
// Handle picking the next state.
|
||||
always @(*) begin : p_n_fsm_state
|
||||
case(fsm_state)
|
||||
FSM_IDLE : n_fsm_state = rxd_reg ? FSM_IDLE : FSM_START;
|
||||
FSM_START: n_fsm_state = next_bit ? FSM_RECV : FSM_START;
|
||||
FSM_RECV : n_fsm_state = payload_done ? FSM_STOP : FSM_RECV ;
|
||||
FSM_STOP : n_fsm_state = next_bit ? FSM_IDLE : FSM_STOP ;
|
||||
default : n_fsm_state = FSM_IDLE;
|
||||
endcase
|
||||
end
|
||||
|
||||
// ---------------------------------------------------------------------------
|
||||
// Internal register setting and re-setting.
|
||||
//
|
||||
|
||||
//
|
||||
// Handle updates to the recieved data register.
|
||||
integer i = 0;
|
||||
always @(posedge clk) begin : p_recieved_data
|
||||
if(!resetn) begin
|
||||
recieved_data <= {PAYLOAD_BITS{1'b0}};
|
||||
end else if(fsm_state == FSM_IDLE ) begin
|
||||
recieved_data <= {PAYLOAD_BITS{1'b0}};
|
||||
end else if(fsm_state == FSM_RECV && next_bit ) begin
|
||||
recieved_data[PAYLOAD_BITS-1] <= bit_sample;
|
||||
for ( i = PAYLOAD_BITS-2; i >= 0; i = i - 1) begin
|
||||
recieved_data[i] <= recieved_data[i+1];
|
||||
end
|
||||
end
|
||||
end
|
||||
|
||||
//
|
||||
// Increments the bit counter when recieving.
|
||||
always @(posedge clk) begin : p_bit_counter
|
||||
if(!resetn) begin
|
||||
bit_counter <= 4'b0;
|
||||
end else if(fsm_state != FSM_RECV) begin
|
||||
bit_counter <= {COUNT_REG_LEN{1'b0}};
|
||||
end else if(fsm_state == FSM_RECV && next_bit) begin
|
||||
bit_counter <= bit_counter + 1'b1;
|
||||
end
|
||||
end
|
||||
|
||||
//
|
||||
// Sample the recieved bit when in the middle of a bit frame.
|
||||
always @(posedge clk) begin : p_bit_sample
|
||||
if(!resetn) begin
|
||||
bit_sample <= 1'b0;
|
||||
end else if (cycle_counter == CYCLES_PER_BIT/2) begin
|
||||
bit_sample <= rxd_reg;
|
||||
end
|
||||
end
|
||||
|
||||
|
||||
//
|
||||
// Increments the cycle counter when recieving.
|
||||
always @(posedge clk) begin : p_cycle_counter
|
||||
if(!resetn) begin
|
||||
cycle_counter <= {COUNT_REG_LEN{1'b0}};
|
||||
end else if(next_bit) begin
|
||||
cycle_counter <= {COUNT_REG_LEN{1'b0}};
|
||||
end else if(fsm_state == FSM_START ||
|
||||
fsm_state == FSM_RECV ||
|
||||
fsm_state == FSM_STOP ) begin
|
||||
cycle_counter <= cycle_counter + 1'b1;
|
||||
end
|
||||
end
|
||||
|
||||
|
||||
//
|
||||
// Progresses the next FSM state.
|
||||
always @(posedge clk) begin : p_fsm_state
|
||||
if(!resetn) begin
|
||||
fsm_state <= FSM_IDLE;
|
||||
end else begin
|
||||
fsm_state <= n_fsm_state;
|
||||
end
|
||||
end
|
||||
|
||||
|
||||
//
|
||||
// Responsible for updating the internal value of the rxd_reg.
|
||||
always @(posedge clk) begin : p_rxd_reg
|
||||
if(!resetn) begin
|
||||
rxd_reg <= 1'b1;
|
||||
rxd_reg_0 <= 1'b1;
|
||||
end else if(uart_rx_en) begin
|
||||
rxd_reg <= rxd_reg_0;
|
||||
rxd_reg_0 <= uart_rxd;
|
||||
end
|
||||
end
|
||||
|
||||
|
||||
endmodule
|
||||
|
|
@ -0,0 +1,187 @@
|
|||
|
||||
|
||||
//
|
||||
// Module: uart_tx
|
||||
//
|
||||
// Notes:
|
||||
// - UART transmitter module.
|
||||
//
|
||||
|
||||
module uart_tx(
|
||||
input wire clk , // Top level system clock input.
|
||||
input wire resetn , // Asynchronous active low reset.
|
||||
output wire uart_txd , // UART transmit pin.
|
||||
output wire uart_tx_busy, // Module busy sending previous item.
|
||||
input wire uart_tx_en , // Send the data on uart_tx_data
|
||||
input wire [PAYLOAD_BITS-1:0] uart_tx_data // The data to be sent
|
||||
);
|
||||
|
||||
// ---------------------------------------------------------------------------
|
||||
// External parameters.
|
||||
//
|
||||
|
||||
//
|
||||
// Input bit rate of the UART line.
|
||||
parameter BIT_RATE = 9600; // bits / sec
|
||||
localparam BIT_P = 1_000_000_000 * 1/BIT_RATE; // nanoseconds
|
||||
|
||||
//
|
||||
// Clock frequency in hertz.
|
||||
parameter CLK_HZ = 50_000_000;
|
||||
localparam CLK_P = 1_000_000_000 * 1/CLK_HZ; // nanoseconds
|
||||
|
||||
//
|
||||
// Number of data bits recieved per UART packet.
|
||||
parameter PAYLOAD_BITS = 8;
|
||||
|
||||
//
|
||||
// Number of stop bits indicating the end of a packet.
|
||||
parameter STOP_BITS = 1;
|
||||
|
||||
// ---------------------------------------------------------------------------
|
||||
// Internal parameters.
|
||||
//
|
||||
|
||||
//
|
||||
// Number of clock cycles per uart bit.
|
||||
localparam CYCLES_PER_BIT = BIT_P / CLK_P;
|
||||
|
||||
//
|
||||
// Size of the registers which store sample counts and bit durations.
|
||||
localparam COUNT_REG_LEN = 1+$clog2(CYCLES_PER_BIT);
|
||||
|
||||
// ---------------------------------------------------------------------------
|
||||
// Internal registers.
|
||||
//
|
||||
|
||||
//
|
||||
// Internally latched value of the uart_txd line. Helps break long timing
|
||||
// paths from the logic to the output pins.
|
||||
reg txd_reg;
|
||||
|
||||
//
|
||||
// Storage for the serial data to be sent.
|
||||
reg [PAYLOAD_BITS-1:0] data_to_send;
|
||||
|
||||
//
|
||||
// Counter for the number of cycles over a packet bit.
|
||||
reg [COUNT_REG_LEN-1:0] cycle_counter;
|
||||
|
||||
//
|
||||
// Counter for the number of sent bits of the packet.
|
||||
reg [3:0] bit_counter;
|
||||
|
||||
//
|
||||
// Current and next states of the internal FSM.
|
||||
reg [2:0] fsm_state;
|
||||
reg [2:0] n_fsm_state;
|
||||
|
||||
localparam FSM_IDLE = 0;
|
||||
localparam FSM_START= 1;
|
||||
localparam FSM_SEND = 2;
|
||||
localparam FSM_STOP = 3;
|
||||
|
||||
|
||||
// ---------------------------------------------------------------------------
|
||||
// FSM next state selection.
|
||||
//
|
||||
|
||||
assign uart_tx_busy = fsm_state != FSM_IDLE;
|
||||
assign uart_txd = txd_reg;
|
||||
|
||||
wire next_bit = cycle_counter == CYCLES_PER_BIT;
|
||||
wire payload_done = bit_counter == PAYLOAD_BITS ;
|
||||
wire stop_done = bit_counter == STOP_BITS && fsm_state == FSM_STOP;
|
||||
|
||||
//
|
||||
// Handle picking the next state.
|
||||
always @(*) begin : p_n_fsm_state
|
||||
case(fsm_state)
|
||||
FSM_IDLE : n_fsm_state = uart_tx_en ? FSM_START: FSM_IDLE ;
|
||||
FSM_START: n_fsm_state = next_bit ? FSM_SEND : FSM_START;
|
||||
FSM_SEND : n_fsm_state = payload_done ? FSM_STOP : FSM_SEND ;
|
||||
FSM_STOP : n_fsm_state = stop_done ? FSM_IDLE : FSM_STOP ;
|
||||
default : n_fsm_state = FSM_IDLE;
|
||||
endcase
|
||||
end
|
||||
|
||||
// ---------------------------------------------------------------------------
|
||||
// Internal register setting and re-setting.
|
||||
//
|
||||
|
||||
//
|
||||
// Handle updates to the sent data register.
|
||||
integer i = 0;
|
||||
always @(posedge clk) begin : p_data_to_send
|
||||
if(!resetn) begin
|
||||
data_to_send <= {PAYLOAD_BITS{1'b0}};
|
||||
end else if(fsm_state == FSM_IDLE && uart_tx_en) begin
|
||||
data_to_send <= uart_tx_data;
|
||||
end else if(fsm_state == FSM_SEND && next_bit ) begin
|
||||
for ( i = PAYLOAD_BITS-2; i >= 0; i = i - 1) begin
|
||||
data_to_send[i] <= data_to_send[i+1];
|
||||
end
|
||||
end
|
||||
end
|
||||
|
||||
|
||||
//
|
||||
// Increments the bit counter each time a new bit frame is sent.
|
||||
always @(posedge clk) begin : p_bit_counter
|
||||
if(!resetn) begin
|
||||
bit_counter <= 4'b0;
|
||||
end else if(fsm_state != FSM_SEND && fsm_state != FSM_STOP) begin
|
||||
bit_counter <= {COUNT_REG_LEN{1'b0}};
|
||||
end else if(fsm_state == FSM_SEND && n_fsm_state == FSM_STOP) begin
|
||||
bit_counter <= {COUNT_REG_LEN{1'b0}};
|
||||
end else if(fsm_state == FSM_STOP&& next_bit) begin
|
||||
bit_counter <= bit_counter + 1'b1;
|
||||
end else if(fsm_state == FSM_SEND && next_bit) begin
|
||||
bit_counter <= bit_counter + 1'b1;
|
||||
end
|
||||
end
|
||||
|
||||
|
||||
//
|
||||
// Increments the cycle counter when sending.
|
||||
always @(posedge clk) begin : p_cycle_counter
|
||||
if(!resetn) begin
|
||||
cycle_counter <= {COUNT_REG_LEN{1'b0}};
|
||||
end else if(next_bit) begin
|
||||
cycle_counter <= {COUNT_REG_LEN{1'b0}};
|
||||
end else if(fsm_state == FSM_START ||
|
||||
fsm_state == FSM_SEND ||
|
||||
fsm_state == FSM_STOP ) begin
|
||||
cycle_counter <= cycle_counter + 1'b1;
|
||||
end
|
||||
end
|
||||
|
||||
|
||||
//
|
||||
// Progresses the next FSM state.
|
||||
always @(posedge clk) begin : p_fsm_state
|
||||
if(!resetn) begin
|
||||
fsm_state <= FSM_IDLE;
|
||||
end else begin
|
||||
fsm_state <= n_fsm_state;
|
||||
end
|
||||
end
|
||||
|
||||
|
||||
//
|
||||
// Responsible for updating the internal value of the txd_reg.
|
||||
always @(posedge clk) begin : p_txd_reg
|
||||
if(!resetn) begin
|
||||
txd_reg <= 1'b1;
|
||||
end else if(fsm_state == FSM_IDLE) begin
|
||||
txd_reg <= 1'b1;
|
||||
end else if(fsm_state == FSM_START) begin
|
||||
txd_reg <= 1'b0;
|
||||
end else if(fsm_state == FSM_SEND) begin
|
||||
txd_reg <= data_to_send[0];
|
||||
end else if(fsm_state == FSM_STOP) begin
|
||||
txd_reg <= 1'b1;
|
||||
end
|
||||
end
|
||||
|
||||
endmodule
|
||||
Loading…
Reference in New Issue