add makefile for openxc7 run (NOT YET WORKING)
This commit is contained in:
parent
b4c5f084e4
commit
b44afbea40
|
|
@ -0,0 +1,71 @@
|
|||
FAMILY = kintex7
|
||||
PART = xc7k160tffg676-2
|
||||
BOARD = qmtechKintex7
|
||||
PROJECT = enclustra_ddr3
|
||||
CHIPDB = ${KINTEX7_CHIPDB}
|
||||
ADDITIONAL_SOURCES = ../../rtl/ddr3_controller.v ../../rtl/ddr3_phy.v ../../rtl/ddr3_top.v uart_rx.v uart_tx.v clk_wiz.v
|
||||
|
||||
#############################################################################################
|
||||
NEXTPNR_XILINX_DIR ?= /snap/openxc7/current/opt/nextpnr-xilinx
|
||||
NEXTPNR_XILINX_PYTHON_DIR ?= ${NEXTPNR_XILINX_DIR}/python
|
||||
PRJXRAY_DB_DIR ?= ${NEXTPNR_XILINX_DIR}/external/prjxray-db
|
||||
|
||||
DBPART = $(shell echo ${PART} | sed -e 's/-[0-9]//g')
|
||||
SPEEDGRADE = $(shell echo ${PART} | sed -e 's/.*\-\([0-9]\)/\1/g')
|
||||
|
||||
CHIPDB ?= ./
|
||||
ifeq ($(CHIPDB),)
|
||||
CHIPDB = ./
|
||||
endif
|
||||
|
||||
PYPY3 ?= pypy3
|
||||
|
||||
TOP ?= ${PROJECT}
|
||||
TOP_MODULE ?= ${TOP}
|
||||
TOP_VERILOG ?= ${TOP}.v
|
||||
|
||||
PNR_DEBUG ?= # --verbose --debug
|
||||
|
||||
BOARD ?= UNKNOWN
|
||||
JTAG_LINK ?= --board ${BOARD}
|
||||
|
||||
XDC ?= ${PROJECT}.xdc
|
||||
|
||||
.PHONY: all
|
||||
all: ${PROJECT}.bit
|
||||
|
||||
.PHONY: program
|
||||
program: ${PROJECT}.bit
|
||||
openFPGALoader ${JTAG_LINK} --bitstream $<
|
||||
|
||||
${PROJECT}.json: ${TOP_VERILOG} ${ADDITIONAL_SOURCES}
|
||||
yosys -p "synth_xilinx -flatten -abc9 ${SYNTH_OPTS} -arch xc7 -top ${TOP_MODULE}; write_json ${PROJECT}.json" $< ${ADDITIONAL_SOURCES}
|
||||
|
||||
# The chip database only needs to be generated once
|
||||
# that is why we don't clean it with make clean
|
||||
${CHIPDB}/${DBPART}.bin:
|
||||
${PYPY3} ${NEXTPNR_XILINX_PYTHON_DIR}/bbaexport.py --device ${PART} --bba ${DBPART}.bba
|
||||
bbasm -l ${DBPART}.bba ${CHIPDB}/${DBPART}.bin
|
||||
rm -f ${DBPART}.bba
|
||||
|
||||
${PROJECT}.fasm: ${PROJECT}.json ${CHIPDB}/${DBPART}.bin ${XDC}
|
||||
nextpnr-xilinx --chipdb ${CHIPDB}/${DBPART}.bin --xdc ${XDC} --json ${PROJECT}.json --fasm $@ ${PNR_ARGS} ${PNR_DEBUG}
|
||||
|
||||
${PROJECT}.frames: ${PROJECT}.fasm
|
||||
fasm2frames --part ${PART} --db-root ${PRJXRAY_DB_DIR}/${FAMILY} $< > $@
|
||||
|
||||
${PROJECT}.bit: ${PROJECT}.frames
|
||||
xc7frames2bit --part_file ${PRJXRAY_DB_DIR}/${FAMILY}/${PART}/part.yaml --part_name ${PART} --frm_file $< --output_file $@
|
||||
|
||||
.PHONY: clean
|
||||
clean:
|
||||
@rm -f *.bit
|
||||
@rm -f *.frames
|
||||
@rm -f *.fasm
|
||||
@rm -f *.json
|
||||
@rm -f *.bin
|
||||
@rm -f *.bba
|
||||
|
||||
.PHONY: pnrclean
|
||||
pnrclean:
|
||||
rm *.fasm *.frames *.bit
|
||||
|
|
@ -6,12 +6,14 @@ module clk_wiz
|
|||
output clk_out1,
|
||||
output clk_out2,
|
||||
output clk_out3,
|
||||
output clk_out4,
|
||||
input reset,
|
||||
output locked
|
||||
);
|
||||
wire clk_out1_clk_wiz_0;
|
||||
wire clk_out2_clk_wiz_0;
|
||||
wire clk_out3_clk_wiz_0;
|
||||
wire clk_out4_clk_wiz_0;
|
||||
|
||||
wire clkfbout;
|
||||
|
||||
|
|
@ -20,18 +22,21 @@ module clk_wiz
|
|||
.COMPENSATION ("INTERNAL"),
|
||||
.STARTUP_WAIT ("FALSE"),
|
||||
.DIVCLK_DIVIDE (1),
|
||||
.CLKFBOUT_MULT (8), // 100 MHz * 8 = 800 MHz
|
||||
.CLKFBOUT_MULT (5), // 200 MHz * 5 = 1000 MHz
|
||||
.CLKFBOUT_PHASE (0.000),
|
||||
.CLKOUT0_DIVIDE (8), // 800 MHz / 8 = 100 MHz
|
||||
.CLKOUT0_DIVIDE (12), // 1000 MHz / 12 = 83.333 MHz
|
||||
.CLKOUT0_PHASE (0.000),
|
||||
.CLKOUT0_DUTY_CYCLE (0.500),
|
||||
.CLKOUT1_DIVIDE (2), // 800 MHz / 2 = 400 MHz
|
||||
.CLKOUT1_DIVIDE (3), // 1000 MHz / 3 = 333.333 MHz
|
||||
.CLKOUT1_PHASE (0.000),
|
||||
.CLKOUT1_DUTY_CYCLE (0.500),
|
||||
.CLKOUT2_DIVIDE (4), // 800 MHz / 4 = 200 MHz
|
||||
.CLKOUT2_DIVIDE (5), // 1000 MHz / 5 = 200 MHz
|
||||
.CLKOUT2_PHASE (0.000),
|
||||
.CLKOUT2_DUTY_CYCLE (0.500),
|
||||
.CLKIN1_PERIOD (10.000) // 100 MHz input
|
||||
.CLKOUT3_DIVIDE (3), // 1000 MHz / 3 = 333.333 MHz, 90 phase
|
||||
.CLKOUT3_PHASE (90.000),
|
||||
.CLKOUT3_DUTY_CYCLE (0.500),
|
||||
.CLKIN1_PERIOD (5) // 200 MHz input
|
||||
)
|
||||
plle2_adv_inst
|
||||
(
|
||||
|
|
@ -39,6 +44,7 @@ module clk_wiz
|
|||
.CLKOUT0 (clk_out1_clk_wiz_0),
|
||||
.CLKOUT1 (clk_out2_clk_wiz_0),
|
||||
.CLKOUT2 (clk_out3_clk_wiz_0),
|
||||
.CLKOUT3 (clk_out4_clk_wiz_0),
|
||||
.CLKFBIN (clkfbout),
|
||||
.CLKIN1 (clk_in1),
|
||||
.LOCKED (locked),
|
||||
|
|
@ -53,5 +59,8 @@ module clk_wiz
|
|||
BUFG clkout3_buf
|
||||
(.O (clk_out3),
|
||||
.I (clk_out3_clk_wiz_0));
|
||||
BUFG clkout4_buf
|
||||
(.O (clk_out4),
|
||||
.I (clk_out4_clk_wiz_0));
|
||||
|
||||
endmodule
|
||||
|
|
|
|||
|
|
@ -1,210 +0,0 @@
|
|||
################################################################################
|
||||
################################################################################
|
||||
set_property CFGBVS GND [current_design]
|
||||
set_property CONFIG_VOLTAGE 1.8 [current_design]
|
||||
set_property BITSTREAM.CONFIG.CONFIGRATE 22 [current_design]
|
||||
set_property BITSTREAM.CONFIG.OVERTEMPPOWERDOWN ENABLE [current_design]
|
||||
set_property BITSTREAM.CONFIG.UNUSEDPIN PULLNONE [current_design]
|
||||
|
||||
# set_property -dict {PACKAGE_PIN AD24 IOSTANDARD LVCMOS18 } [get_ports {CLK_100_CAL}]
|
||||
set_property DCI_CASCADE {32 33} [get_iobanks 34]
|
||||
## For a 1.5V memory, the appropriate VREF voltage is half of 1.5, or 0.75 Volts
|
||||
## Of the DDR3 bank(s), only bank 33 needs the INTERNAL_VREF. The other DDR3
|
||||
## banks are explicitly connected to an external VREF signal. However, bank
|
||||
## 33s IOs are overloaded--there was no room for the VREF. Hence, to spare
|
||||
## two pins, bank 33 uses an internal voltage reference. Sadly, the same
|
||||
## problem plays out in banks 12-16 as well.
|
||||
set_property INTERNAL_VREF 0.750 [get_iobanks 33]
|
||||
## Other IO banks have internal VREFs as well, those these aren't as critical
|
||||
set_property INTERNAL_VREF 0.90 [get_iobanks 12]
|
||||
set_property INTERNAL_VREF 0.60 [get_iobanks 13]
|
||||
set_property INTERNAL_VREF 0.90 [get_iobanks 14]
|
||||
set_property INTERNAL_VREF 0.90 [get_iobanks 15]
|
||||
set_property INTERNAL_VREF 0.90 [get_iobanks 16]
|
||||
|
||||
## Clocks
|
||||
# 100MHz single ended input clock
|
||||
set_property -dict {PACKAGE_PIN AA4 IOSTANDARD SSTL15 } [get_ports {i_clk}];
|
||||
create_clock -name i_clk -period 10.000 [get_ports i_clk];
|
||||
|
||||
# Baseboard LEDs
|
||||
# set_property -dict {SLEW SLOW PACKAGE_PIN F22 IOSTANDARD LVCMOS18 } [get_ports { o_led_status[4] }]; # GPIO0_LED0_N
|
||||
set_property -dict {SLEW SLOW PACKAGE_PIN E23 IOSTANDARD LVCMOS18 } [get_ports { led[0] }]; # GPIO1_LED1_N
|
||||
set_property -dict {SLEW SLOW PACKAGE_PIN K25 IOSTANDARD LVCMOS12 } [get_ports { led[1] }]; # LED2
|
||||
set_property -dict {SLEW SLOW PACKAGE_PIN K26 IOSTANDARD LVCMOS12 } [get_ports { led[2] }]; # LED3
|
||||
|
||||
## UART
|
||||
## {{{
|
||||
set_property -dict {PACKAGE_PIN B20 IOSTANDARD LVCMOS18 } [get_ports {rx}]; # UART_RX
|
||||
set_property -dict {PACKAGE_PIN A20 IOSTANDARD LVCMOS18 } [get_ports {tx}]; # UART_TX
|
||||
## }}}
|
||||
|
||||
## Buttons
|
||||
## {{{
|
||||
set_property -dict {PACKAGE_PIN C22 IOSTANDARD LVCMOS18 } [get_ports {i_rst_n}]; # (Not in TCL)
|
||||
|
||||
## DDR3 MEMORY
|
||||
set_property -dict {PACKAGE_PIN AB7 IOSTANDARD LVCMOS15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_reset_n}];
|
||||
|
||||
set_property -dict {PACKAGE_PIN AB12 IOSTANDARD DIFF_SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_clk_p}];
|
||||
set_property -dict {PACKAGE_PIN AC12 IOSTANDARD DIFF_SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_clk_n}];
|
||||
set_property -dict {PACKAGE_PIN AA13 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_cke}]; ## CKE
|
||||
## set_property -dict {SLEW SLOW PACKAGE_PIN AA3 IOSTANDARD LVCMOS15 } [get_ports {o_ddr3_vsel}];
|
||||
set_property -dict {PACKAGE_PIN Y12 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_cs_n}];
|
||||
set_property -dict {PACKAGE_PIN AE13 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_ras_n}]
|
||||
set_property -dict {PACKAGE_PIN AE12 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_cas_n}];
|
||||
set_property -dict {PACKAGE_PIN AA12 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_we_n}];
|
||||
set_property -dict {PACKAGE_PIN AD13 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_odt}];
|
||||
|
||||
|
||||
## Address lines
|
||||
set_property -dict {PACKAGE_PIN AE11 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[0]}];
|
||||
set_property -dict {PACKAGE_PIN AF9 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[1]}];
|
||||
set_property -dict {PACKAGE_PIN AD10 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[2]}];
|
||||
set_property -dict {PACKAGE_PIN AB10 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[3]}];
|
||||
set_property -dict {PACKAGE_PIN AA9 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[4]}];
|
||||
set_property -dict {PACKAGE_PIN AB9 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[5]}];
|
||||
set_property -dict {PACKAGE_PIN AA8 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[6]}];
|
||||
set_property -dict {PACKAGE_PIN AC8 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[7]}];
|
||||
set_property -dict {PACKAGE_PIN AA7 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[8]}];
|
||||
set_property -dict {PACKAGE_PIN AE8 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[9]}];
|
||||
|
||||
set_property -dict {PACKAGE_PIN AF10 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[10]}];
|
||||
set_property -dict {PACKAGE_PIN AD8 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[11]}];
|
||||
set_property -dict {PACKAGE_PIN AE10 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[12]}];
|
||||
set_property -dict {PACKAGE_PIN AF8 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[13]}];
|
||||
set_property -dict {PACKAGE_PIN AC7 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[14]}];
|
||||
|
||||
set_property -dict {PACKAGE_PIN AD11 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_ba[0]}];
|
||||
set_property -dict {PACKAGE_PIN AA10 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_ba[1]}];
|
||||
set_property -dict {PACKAGE_PIN AF12 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_ba[2]}];
|
||||
|
||||
|
||||
## Byte lane #0
|
||||
set_property -dict {PACKAGE_PIN AA2 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[0]}];
|
||||
set_property -dict {PACKAGE_PIN Y2 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[1]}];
|
||||
set_property -dict {PACKAGE_PIN AB2 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[2]}];
|
||||
set_property -dict {PACKAGE_PIN V1 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[3]}];
|
||||
set_property -dict {PACKAGE_PIN Y1 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[4]}];
|
||||
set_property -dict {PACKAGE_PIN W1 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[5]}];
|
||||
set_property -dict {PACKAGE_PIN AC2 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[6]}];
|
||||
set_property -dict {PACKAGE_PIN V2 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[7]}];
|
||||
|
||||
set_property -dict {PACKAGE_PIN Y3 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_dm[0]}];
|
||||
|
||||
set_property -dict {PACKAGE_PIN AB1 IOSTANDARD DIFF_SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_p[0]}];
|
||||
set_property -dict {PACKAGE_PIN AC1 IOSTANDARD DIFF_SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_n[0]}];
|
||||
|
||||
|
||||
## Byte lane #1
|
||||
set_property -dict {PACKAGE_PIN W3 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[8]}];
|
||||
set_property -dict {PACKAGE_PIN V3 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[9]}];
|
||||
set_property -dict {PACKAGE_PIN U1 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[10]}];
|
||||
set_property -dict {PACKAGE_PIN U7 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[11]}];
|
||||
set_property -dict {PACKAGE_PIN U6 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[12]}];
|
||||
set_property -dict {PACKAGE_PIN V4 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[13]}];
|
||||
set_property -dict {PACKAGE_PIN V6 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[14]}];
|
||||
set_property -dict {PACKAGE_PIN U2 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[15]}];
|
||||
|
||||
set_property -dict {PACKAGE_PIN U5 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_dm[1]}];
|
||||
|
||||
set_property -dict {PACKAGE_PIN W6 IOSTANDARD DIFF_SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_p[1]}];
|
||||
set_property -dict {PACKAGE_PIN W5 IOSTANDARD DIFF_SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_n[1]}];
|
||||
|
||||
|
||||
## Byte lane #2
|
||||
set_property -dict {PACKAGE_PIN AE3 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[16]}];
|
||||
set_property -dict {PACKAGE_PIN AE6 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[17]}];
|
||||
set_property -dict {PACKAGE_PIN AF3 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[18]}];
|
||||
set_property -dict {PACKAGE_PIN AD1 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[19]}];
|
||||
set_property -dict {PACKAGE_PIN AE1 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[20]}];
|
||||
set_property -dict {PACKAGE_PIN AE2 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[21]}];
|
||||
set_property -dict {PACKAGE_PIN AF2 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[22]}];
|
||||
set_property -dict {PACKAGE_PIN AE5 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[23]}];
|
||||
|
||||
set_property -dict {PACKAGE_PIN AD4 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_dm[2]}];
|
||||
|
||||
set_property -dict {PACKAGE_PIN AF5 IOSTANDARD DIFF_SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_p[2]}];
|
||||
set_property -dict {PACKAGE_PIN AF4 IOSTANDARD DIFF_SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_n[2]}];
|
||||
|
||||
|
||||
## Byte lane #3
|
||||
set_property -dict {PACKAGE_PIN AD5 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[24]}];
|
||||
set_property -dict {PACKAGE_PIN Y5 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[25]}];
|
||||
set_property -dict {PACKAGE_PIN AC6 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[26]}];
|
||||
set_property -dict {PACKAGE_PIN Y6 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[27]}];
|
||||
set_property -dict {PACKAGE_PIN AB4 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[28]}];
|
||||
set_property -dict {PACKAGE_PIN AD6 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[29]}];
|
||||
set_property -dict {PACKAGE_PIN AB6 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[30]}];
|
||||
set_property -dict {PACKAGE_PIN AC3 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[31]}];
|
||||
|
||||
set_property -dict {PACKAGE_PIN AC4 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_dm[3]}];
|
||||
|
||||
set_property -dict {PACKAGE_PIN AA5 IOSTANDARD DIFF_SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_p[3]}];
|
||||
set_property -dict {PACKAGE_PIN AB5 IOSTANDARD DIFF_SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_n[3]}];
|
||||
|
||||
|
||||
## Byte lane #4
|
||||
set_property -dict {PACKAGE_PIN AD16 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[32]}];
|
||||
set_property -dict {PACKAGE_PIN AE17 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[33]}];
|
||||
set_property -dict {PACKAGE_PIN AF15 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[34]}];
|
||||
set_property -dict {PACKAGE_PIN AF20 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[35]}];
|
||||
set_property -dict {PACKAGE_PIN AD15 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[36]}];
|
||||
set_property -dict {PACKAGE_PIN AF14 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[37]}];
|
||||
set_property -dict {PACKAGE_PIN AE15 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[38]}];
|
||||
set_property -dict {PACKAGE_PIN AF17 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[39]}];
|
||||
|
||||
set_property -dict {PACKAGE_PIN AF19 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_dm[4]}];
|
||||
|
||||
set_property -dict {PACKAGE_PIN AE18 IOSTANDARD DIFF_SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_p[4]}];
|
||||
set_property -dict {PACKAGE_PIN AF18 IOSTANDARD DIFF_SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_n[4]}];
|
||||
|
||||
|
||||
## Byte lane #5
|
||||
set_property -dict {PACKAGE_PIN AA14 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[40]}];
|
||||
set_property -dict {PACKAGE_PIN AA15 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[41]}];
|
||||
set_property -dict {PACKAGE_PIN AC14 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[42]}];
|
||||
set_property -dict {PACKAGE_PIN AD14 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[43]}];
|
||||
set_property -dict {PACKAGE_PIN AB14 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[44]}];
|
||||
set_property -dict {PACKAGE_PIN AB15 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[45]}];
|
||||
set_property -dict {PACKAGE_PIN AA17 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[46]}];
|
||||
set_property -dict {PACKAGE_PIN AA18 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[47]}];
|
||||
|
||||
set_property -dict {PACKAGE_PIN AC16 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_dm[5]}];
|
||||
|
||||
set_property -dict {PACKAGE_PIN Y15 IOSTANDARD DIFF_SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_p[5]}];
|
||||
set_property -dict {PACKAGE_PIN Y16 IOSTANDARD DIFF_SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_n[5]}];
|
||||
|
||||
|
||||
## Byte lane #6
|
||||
set_property -dict {PACKAGE_PIN AB20 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[48]}];
|
||||
set_property -dict {PACKAGE_PIN AD19 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[49]}];
|
||||
set_property -dict {PACKAGE_PIN AC19 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[50]}];
|
||||
set_property -dict {PACKAGE_PIN AA20 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[51]}];
|
||||
set_property -dict {PACKAGE_PIN AA19 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[52]}];
|
||||
set_property -dict {PACKAGE_PIN AC17 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[53]}];
|
||||
set_property -dict {PACKAGE_PIN AD18 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[54]}];
|
||||
set_property -dict {PACKAGE_PIN AB17 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[55]}];
|
||||
set_property -dict {PACKAGE_PIN AB19 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_dm[6]}];
|
||||
set_property -dict {PACKAGE_PIN AD20 IOSTANDARD DIFF_SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_p[6]}];
|
||||
set_property -dict {PACKAGE_PIN AE20 IOSTANDARD DIFF_SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_n[6]}];
|
||||
|
||||
|
||||
## Byte lane #7
|
||||
set_property -dict {PACKAGE_PIN W15 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[56]}];
|
||||
set_property -dict {PACKAGE_PIN W16 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[57]}];
|
||||
set_property -dict {PACKAGE_PIN W14 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[58]}];
|
||||
set_property -dict {PACKAGE_PIN V16 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[59]}];
|
||||
set_property -dict {PACKAGE_PIN V19 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[60]}];
|
||||
set_property -dict {PACKAGE_PIN V17 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[61]}];
|
||||
set_property -dict {PACKAGE_PIN V18 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[62]}];
|
||||
set_property -dict {PACKAGE_PIN Y17 IOSTANDARD SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[63]}];
|
||||
|
||||
set_property -dict {PACKAGE_PIN V14 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_dm[7]}];
|
||||
|
||||
set_property -dict {PACKAGE_PIN W18 IOSTANDARD DIFF_SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_p[7]}];
|
||||
set_property -dict {PACKAGE_PIN W19 IOSTANDARD DIFF_SSTL15_T_DCI SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_n[7]}];
|
||||
|
||||
|
||||
|
||||
set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
|
||||
|
|
@ -0,0 +1,210 @@
|
|||
################################################################################
|
||||
################################################################################
|
||||
set_property CFGBVS GND [current_design]
|
||||
set_property CONFIG_VOLTAGE 1.8 [current_design]
|
||||
set_property BITSTREAM.CONFIG.CONFIGRATE 22 [current_design]
|
||||
set_property BITSTREAM.CONFIG.OVERTEMPPOWERDOWN ENABLE [current_design]
|
||||
set_property BITSTREAM.CONFIG.UNUSEDPIN PULLNONE [current_design]
|
||||
|
||||
# set_property -dict {PACKAGE_PIN AD24 IOSTANDARD LVCMOS18 } [get_ports {CLK_100_CAL}]
|
||||
# set_property DCI_CASCADE {32 33} [get_iobanks 34]
|
||||
## For a 1.5V memory, the appropriate VREF voltage is half of 1.5, or 0.75 Volts
|
||||
## Of the DDR3 bank(s), only bank 33 needs the INTERNAL_VREF. The other DDR3
|
||||
## banks are explicitly connected to an external VREF signal. However, bank
|
||||
## 33s IOs are overloaded--there was no room for the VREF. Hence, to spare
|
||||
## two pins, bank 33 uses an internal voltage reference. Sadly, the same
|
||||
## problem plays out in banks 12-16 as well.
|
||||
# set_property INTERNAL_VREF 0.750 [get_iobanks 33]
|
||||
# ## Other IO banks have internal VREFs as well, those these aren't as critical
|
||||
# set_property INTERNAL_VREF 0.90 [get_iobanks 12]
|
||||
# set_property INTERNAL_VREF 0.60 [get_iobanks 13]
|
||||
# set_property INTERNAL_VREF 0.90 [get_iobanks 14]
|
||||
# set_property INTERNAL_VREF 0.90 [get_iobanks 15]
|
||||
# set_property INTERNAL_VREF 0.90 [get_iobanks 16]
|
||||
|
||||
## Clocks
|
||||
# 100MHz single ended input clock
|
||||
set_property -dict {PACKAGE_PIN AA4 IOSTANDARD SSTL15} [get_ports i_clk]
|
||||
create_clock -period 10.000 -name sys_clk_pin -waveform {0.000 5.000} -add [get_ports i_clk]
|
||||
|
||||
# Baseboard LEDs
|
||||
# set_property -dict {SLEW SLOW PACKAGE_PIN F22 IOSTANDARD LVCMOS18 } [get_ports { o_led_status[4] }] # GPIO0_LED0_N
|
||||
set_property -dict {PACKAGE_PIN E23 IOSTANDARD LVCMOS18} [get_ports {led[0]}]
|
||||
set_property -dict {PACKAGE_PIN K25 IOSTANDARD LVCMOS12} [get_ports {led[1]}]
|
||||
set_property -dict {PACKAGE_PIN K26 IOSTANDARD LVCMOS12} [get_ports {led[2]}]
|
||||
|
||||
## UART
|
||||
## {{{
|
||||
set_property -dict {PACKAGE_PIN B20 IOSTANDARD LVCMOS18 } [get_ports {rx}]
|
||||
set_property -dict {PACKAGE_PIN A20 IOSTANDARD LVCMOS18 } [get_ports {tx}]
|
||||
## }}}
|
||||
|
||||
## Buttons
|
||||
## {{{
|
||||
set_property -dict {PACKAGE_PIN C22 IOSTANDARD LVCMOS18 } [get_ports {i_rst_n}]
|
||||
|
||||
## DDR3 MEMORY
|
||||
set_property -dict {PACKAGE_PIN AB7 IOSTANDARD LVCMOS15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_reset_n}]
|
||||
|
||||
set_property -dict {PACKAGE_PIN AB12 IOSTANDARD DIFF_SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_clk_p}]
|
||||
set_property -dict {PACKAGE_PIN AC12 IOSTANDARD DIFF_SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_clk_n}]
|
||||
set_property -dict {PACKAGE_PIN AA13 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_cke}]
|
||||
## set_property -dict {SLEW SLOW PACKAGE_PIN AA3 IOSTANDARD LVCMOS15 } [get_ports {o_ddr3_vsel}]
|
||||
set_property -dict {PACKAGE_PIN Y12 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_cs_n}]
|
||||
set_property -dict {PACKAGE_PIN AE13 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_ras_n}]
|
||||
set_property -dict {PACKAGE_PIN AE12 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_cas_n}]
|
||||
set_property -dict {PACKAGE_PIN AA12 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_we_n}]
|
||||
set_property -dict {PACKAGE_PIN AD13 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_odt}]
|
||||
|
||||
|
||||
## Address lines
|
||||
set_property -dict {PACKAGE_PIN AE11 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[0]}]
|
||||
set_property -dict {PACKAGE_PIN AF9 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[1]}]
|
||||
set_property -dict {PACKAGE_PIN AD10 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[2]}]
|
||||
set_property -dict {PACKAGE_PIN AB10 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[3]}]
|
||||
set_property -dict {PACKAGE_PIN AA9 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[4]}]
|
||||
set_property -dict {PACKAGE_PIN AB9 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[5]}]
|
||||
set_property -dict {PACKAGE_PIN AA8 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[6]}]
|
||||
set_property -dict {PACKAGE_PIN AC8 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[7]}]
|
||||
set_property -dict {PACKAGE_PIN AA7 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[8]}]
|
||||
set_property -dict {PACKAGE_PIN AE8 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[9]}]
|
||||
|
||||
set_property -dict {PACKAGE_PIN AF10 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[10]}]
|
||||
set_property -dict {PACKAGE_PIN AD8 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[11]}]
|
||||
set_property -dict {PACKAGE_PIN AE10 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[12]}]
|
||||
set_property -dict {PACKAGE_PIN AF8 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[13]}]
|
||||
set_property -dict {PACKAGE_PIN AC7 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_addr[14]}]
|
||||
|
||||
set_property -dict {PACKAGE_PIN AD11 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_ba[0]}]
|
||||
set_property -dict {PACKAGE_PIN AA10 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_ba[1]}]
|
||||
set_property -dict {PACKAGE_PIN AF12 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_ba[2]}]
|
||||
|
||||
|
||||
## Byte lane #0
|
||||
set_property -dict {PACKAGE_PIN AA2 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[0]}]
|
||||
set_property -dict {PACKAGE_PIN Y2 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[1]}]
|
||||
set_property -dict {PACKAGE_PIN AB2 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[2]}]
|
||||
set_property -dict {PACKAGE_PIN V1 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[3]}]
|
||||
set_property -dict {PACKAGE_PIN Y1 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[4]}]
|
||||
set_property -dict {PACKAGE_PIN W1 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[5]}]
|
||||
set_property -dict {PACKAGE_PIN AC2 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[6]}]
|
||||
set_property -dict {PACKAGE_PIN V2 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[7]}]
|
||||
|
||||
set_property -dict {PACKAGE_PIN Y3 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_dm[0]}]
|
||||
|
||||
set_property -dict {PACKAGE_PIN AB1 IOSTANDARD DIFF_SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_p[0]}]
|
||||
set_property -dict {PACKAGE_PIN AC1 IOSTANDARD DIFF_SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_n[0]}]
|
||||
|
||||
|
||||
## Byte lane #1
|
||||
set_property -dict {PACKAGE_PIN W3 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[8]}]
|
||||
set_property -dict {PACKAGE_PIN V3 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[9]}]
|
||||
set_property -dict {PACKAGE_PIN U1 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[10]}]
|
||||
set_property -dict {PACKAGE_PIN U7 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[11]}]
|
||||
set_property -dict {PACKAGE_PIN U6 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[12]}]
|
||||
set_property -dict {PACKAGE_PIN V4 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[13]}]
|
||||
set_property -dict {PACKAGE_PIN V6 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[14]}]
|
||||
set_property -dict {PACKAGE_PIN U2 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[15]}]
|
||||
|
||||
set_property -dict {PACKAGE_PIN U5 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_dm[1]}]
|
||||
|
||||
set_property -dict {PACKAGE_PIN W6 IOSTANDARD DIFF_SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_p[1]}]
|
||||
set_property -dict {PACKAGE_PIN W5 IOSTANDARD DIFF_SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_n[1]}]
|
||||
|
||||
|
||||
## Byte lane #2
|
||||
set_property -dict {PACKAGE_PIN AE3 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[16]}]
|
||||
set_property -dict {PACKAGE_PIN AE6 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[17]}]
|
||||
set_property -dict {PACKAGE_PIN AF3 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[18]}]
|
||||
set_property -dict {PACKAGE_PIN AD1 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[19]}]
|
||||
set_property -dict {PACKAGE_PIN AE1 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[20]}]
|
||||
set_property -dict {PACKAGE_PIN AE2 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[21]}]
|
||||
set_property -dict {PACKAGE_PIN AF2 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[22]}]
|
||||
set_property -dict {PACKAGE_PIN AE5 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[23]}]
|
||||
|
||||
set_property -dict {PACKAGE_PIN AD4 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_dm[2]}]
|
||||
|
||||
set_property -dict {PACKAGE_PIN AF5 IOSTANDARD DIFF_SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_p[2]}]
|
||||
set_property -dict {PACKAGE_PIN AF4 IOSTANDARD DIFF_SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_n[2]}]
|
||||
|
||||
|
||||
## Byte lane #3
|
||||
set_property -dict {PACKAGE_PIN AD5 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[24]}]
|
||||
set_property -dict {PACKAGE_PIN Y5 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[25]}]
|
||||
set_property -dict {PACKAGE_PIN AC6 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[26]}]
|
||||
set_property -dict {PACKAGE_PIN Y6 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[27]}]
|
||||
set_property -dict {PACKAGE_PIN AB4 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[28]}]
|
||||
set_property -dict {PACKAGE_PIN AD6 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[29]}]
|
||||
set_property -dict {PACKAGE_PIN AB6 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[30]}]
|
||||
set_property -dict {PACKAGE_PIN AC3 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[31]}]
|
||||
|
||||
set_property -dict {PACKAGE_PIN AC4 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_dm[3]}]
|
||||
|
||||
set_property -dict {PACKAGE_PIN AA5 IOSTANDARD DIFF_SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_p[3]}]
|
||||
set_property -dict {PACKAGE_PIN AB5 IOSTANDARD DIFF_SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_n[3]}]
|
||||
|
||||
|
||||
## Byte lane #4
|
||||
set_property -dict {PACKAGE_PIN AD16 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[32]}]
|
||||
set_property -dict {PACKAGE_PIN AE17 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[33]}]
|
||||
set_property -dict {PACKAGE_PIN AF15 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[34]}]
|
||||
set_property -dict {PACKAGE_PIN AF20 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[35]}]
|
||||
set_property -dict {PACKAGE_PIN AD15 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[36]}]
|
||||
set_property -dict {PACKAGE_PIN AF14 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[37]}]
|
||||
set_property -dict {PACKAGE_PIN AE15 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[38]}]
|
||||
set_property -dict {PACKAGE_PIN AF17 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[39]}]
|
||||
|
||||
set_property -dict {PACKAGE_PIN AF19 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_dm[4]}]
|
||||
|
||||
set_property -dict {PACKAGE_PIN AE18 IOSTANDARD DIFF_SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_p[4]}]
|
||||
set_property -dict {PACKAGE_PIN AF18 IOSTANDARD DIFF_SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_n[4]}]
|
||||
|
||||
|
||||
## Byte lane #5
|
||||
set_property -dict {PACKAGE_PIN AA14 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[40]}]
|
||||
set_property -dict {PACKAGE_PIN AA15 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[41]}]
|
||||
set_property -dict {PACKAGE_PIN AC14 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[42]}]
|
||||
set_property -dict {PACKAGE_PIN AD14 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[43]}]
|
||||
set_property -dict {PACKAGE_PIN AB14 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[44]}]
|
||||
set_property -dict {PACKAGE_PIN AB15 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[45]}]
|
||||
set_property -dict {PACKAGE_PIN AA17 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[46]}]
|
||||
set_property -dict {PACKAGE_PIN AA18 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[47]}]
|
||||
|
||||
set_property -dict {PACKAGE_PIN AC16 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_dm[5]}]
|
||||
|
||||
set_property -dict {PACKAGE_PIN Y15 IOSTANDARD DIFF_SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_p[5]}]
|
||||
set_property -dict {PACKAGE_PIN Y16 IOSTANDARD DIFF_SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_n[5]}]
|
||||
|
||||
|
||||
## Byte lane #6
|
||||
set_property -dict {PACKAGE_PIN AB20 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[48]}]
|
||||
set_property -dict {PACKAGE_PIN AD19 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[49]}]
|
||||
set_property -dict {PACKAGE_PIN AC19 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[50]}]
|
||||
set_property -dict {PACKAGE_PIN AA20 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[51]}]
|
||||
set_property -dict {PACKAGE_PIN AA19 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[52]}]
|
||||
set_property -dict {PACKAGE_PIN AC17 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[53]}]
|
||||
set_property -dict {PACKAGE_PIN AD18 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[54]}]
|
||||
set_property -dict {PACKAGE_PIN AB17 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[55]}]
|
||||
set_property -dict {PACKAGE_PIN AB19 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_dm[6]}]
|
||||
set_property -dict {PACKAGE_PIN AD20 IOSTANDARD DIFF_SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_p[6]}]
|
||||
set_property -dict {PACKAGE_PIN AE20 IOSTANDARD DIFF_SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_n[6]}]
|
||||
|
||||
|
||||
## Byte lane #7
|
||||
set_property -dict {PACKAGE_PIN W15 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[56]}]
|
||||
set_property -dict {PACKAGE_PIN W16 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[57]}]
|
||||
set_property -dict {PACKAGE_PIN W14 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[58]}]
|
||||
set_property -dict {PACKAGE_PIN V16 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[59]}]
|
||||
set_property -dict {PACKAGE_PIN V19 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[60]}]
|
||||
set_property -dict {PACKAGE_PIN V17 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[61]}]
|
||||
set_property -dict {PACKAGE_PIN V18 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[62]}]
|
||||
set_property -dict {PACKAGE_PIN Y17 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dq[63]}]
|
||||
|
||||
set_property -dict {PACKAGE_PIN V14 IOSTANDARD SSTL15 SLEW FAST VCCAUX_IO HIGH } [get_ports {ddr3_dm[7]}]
|
||||
|
||||
set_property -dict {PACKAGE_PIN W18 IOSTANDARD DIFF_SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_p[7]}]
|
||||
set_property -dict {PACKAGE_PIN W19 IOSTANDARD DIFF_SSTL15 SLEW FAST VCCAUX_IO HIGH} [get_ports {ddr3_dqs_n[7]}]
|
||||
|
||||
|
||||
|
||||
set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
|
||||
Binary file not shown.
|
|
@ -37,7 +37,7 @@
|
|||
|
||||
module enclustra_ddr3
|
||||
(
|
||||
input wire i_clk,
|
||||
input wire i_clk200_p, i_clk200_n,
|
||||
input wire i_rst_n,
|
||||
// DDR3 I/O Interface
|
||||
output wire ddr3_clk_p, ddr3_clk_n,
|
||||
|
|
@ -57,7 +57,15 @@
|
|||
input wire rx,
|
||||
output wire tx,
|
||||
//Debug LEDs
|
||||
output wire[2:0] led
|
||||
output wire[3:0] led
|
||||
);
|
||||
wire sys_clk_200MHz;
|
||||
|
||||
IBUFDS sys_clk_ibufgds
|
||||
(
|
||||
.O(sys_clk_200MHz),
|
||||
.I(i_clk200_p),
|
||||
.IB(i_clk200_n)
|
||||
);
|
||||
|
||||
wire i_controller_clk, i_ddr3_clk, i_ref_clk;
|
||||
|
|
@ -74,9 +82,10 @@
|
|||
reg[7:0] i_wb_data;
|
||||
reg[7:0] i_wb_addr;
|
||||
// o_debug1 taps on value of state_calibrate (can be traced inside ddr3_controller module)
|
||||
assign led[0] = !(o_debug1[4:0] == 23); //light up if at DONE_CALIBRATE
|
||||
assign led[1] = (o_debug1[4:0] == 23); //light up if at DONE_CALIBRATE
|
||||
assign led[2] = (o_debug1[4:0] == 23); //light up if at DONE_CALIBRATE
|
||||
assign led[0] = (o_debug1[4:0] == 23); //light up if at DONE_CALIBRATE
|
||||
assign led[1] = !(o_debug1[4:0] == 23); //light up if at DONE_CALIBRATE
|
||||
assign led[2] = !(o_debug1[4:0] == 23); //light up if at DONE_CALIBRATE
|
||||
assign led[3] = !(o_debug1[4:0] == 23); //light up if at DONE_CALIBRATE
|
||||
|
||||
always @(posedge i_controller_clk) begin
|
||||
begin
|
||||
|
|
@ -101,39 +110,71 @@
|
|||
end
|
||||
|
||||
wire clk_locked;
|
||||
wire i_ddr3_clk_90;
|
||||
clk_wiz clk_wiz_inst
|
||||
(
|
||||
// Clock out ports
|
||||
.clk_out1(i_controller_clk), //100 Mhz
|
||||
.clk_out2(i_ddr3_clk), // 400 MHz
|
||||
.clk_out1(i_controller_clk), //83.333 Mhz
|
||||
.clk_out2(i_ddr3_clk), // 333.333 MHz
|
||||
.clk_out3(i_ref_clk), // 200 MHz
|
||||
.clk_out4(i_ddr3_clk_90), // 333.333 MHz with 90 degrees shift
|
||||
// Status and control signals
|
||||
.reset(!i_rst_n),
|
||||
.locked(clk_locked),
|
||||
// Clock in ports
|
||||
.clk_in1(i_clk)
|
||||
.clk_in1(sys_clk_200MHz)
|
||||
);
|
||||
|
||||
// UART module from https://github.com/alexforencich/verilog-uart
|
||||
uart #(.DATA_WIDTH(8)) uart_m
|
||||
(
|
||||
.clk(i_controller_clk),
|
||||
.rst(!i_rst_n),
|
||||
.s_axis_tdata(o_wb_data),
|
||||
.s_axis_tvalid(o_wb_ack),
|
||||
.s_axis_tready(),
|
||||
.m_axis_tdata(rd_data),
|
||||
.m_axis_tvalid(m_axis_tvalid),
|
||||
.m_axis_tready(1),
|
||||
.rxd(rx),
|
||||
.txd(tx),
|
||||
.prescale(1302) //9600 Baud Rate: 100MHz/(8*9600)
|
||||
// UART TX/RX module from https://github.com/ben-marshall/uart
|
||||
uart_tx #(
|
||||
.BIT_RATE(9600),
|
||||
.CLK_HZ(83_333_333),
|
||||
.PAYLOAD_BITS(8),
|
||||
.STOP_BITS(1)
|
||||
) uart_tx_inst (
|
||||
.clk(i_controller_clk), // Top level system clock input.
|
||||
.resetn(i_rst_n && clk_locked && o_debug1[4:0] == 23), // Asynchronous active low reset.
|
||||
.uart_txd(tx), // UART transmit pin.
|
||||
.uart_tx_busy(), // Module busy sending previous item.
|
||||
.uart_tx_en(o_wb_ack), // Send the data on uart_tx_data
|
||||
.uart_tx_data(o_wb_data) // The data to be sent
|
||||
);
|
||||
uart_rx #(
|
||||
.BIT_RATE(9600),
|
||||
.CLK_HZ(83_333_333),
|
||||
.PAYLOAD_BITS(8),
|
||||
.STOP_BITS(1)
|
||||
) uart_rx_inst (
|
||||
.clk(i_controller_clk), // Top level system clock input.
|
||||
.resetn(i_rst_n && clk_locked && o_debug1[4:0] == 23), // Asynchronous active low reset.
|
||||
.uart_rxd(rx), // UART Recieve pin.
|
||||
.uart_rx_en(o_debug1[4:0] == 23), // Recieve enable
|
||||
.uart_rx_break(), // Did we get a BREAK message?
|
||||
.uart_rx_valid(m_axis_tvalid), // Valid data recieved/available.
|
||||
.uart_rx_data(rd_data) // The recieved data.
|
||||
);
|
||||
|
||||
|
||||
// UART module from https://github.com/alexforencich/verilog-uart (DOES NOT WORK ON OPENXC7, UberDDR3 cannot finish calibration when this UART is used)
|
||||
// uart #(.DATA_WIDTH(8)) uart_m
|
||||
// (
|
||||
// .clk(i_controller_clk),
|
||||
// .rst(!i_rst_n),
|
||||
// .s_axis_tdata(o_wb_data),
|
||||
// .s_axis_tvalid(o_wb_ack),
|
||||
// .s_axis_tready(),
|
||||
// .m_axis_tdata(rd_data),
|
||||
// .m_axis_tvalid(m_axis_tvalid),
|
||||
// .m_axis_tready(1),
|
||||
// .rxd(rx),
|
||||
// .txd(tx),
|
||||
// .prescale(1085) //9600 Baud Rate (83.33MHz/(8*9600))
|
||||
// );
|
||||
|
||||
// DDR3 Controller
|
||||
ddr3_top #(
|
||||
.CONTROLLER_CLK_PERIOD(10_000), //ps, clock period of the controller interface
|
||||
.DDR3_CLK_PERIOD(2_500), //ps, clock period of the DDR3 RAM device (must be 1/4 of the CONTROLLER_CLK_PERIOD)
|
||||
.CONTROLLER_CLK_PERIOD(12_000), //ps, clock period of the controller interface
|
||||
.DDR3_CLK_PERIOD(3_000), //ps, clock period of the DDR3 RAM device (must be 1/4 of the CONTROLLER_CLK_PERIOD)
|
||||
.ROW_BITS(15), //width of row address
|
||||
.COL_BITS(10), //width of column address
|
||||
.BA_BITS(3), //width of bank address
|
||||
|
|
@ -152,7 +193,7 @@
|
|||
.i_controller_clk(i_controller_clk),
|
||||
.i_ddr3_clk(i_ddr3_clk), //i_controller_clk has period of CONTROLLER_CLK_PERIOD, i_ddr3_clk has period of DDR3_CLK_PERIOD
|
||||
.i_ref_clk(i_ref_clk),
|
||||
.i_ddr3_clk_90(0),
|
||||
.i_ddr3_clk_90(i_ddr3_clk_90),
|
||||
.i_rst_n(i_rst_n && clk_locked),
|
||||
// Wishbone inputs
|
||||
.i_wb_cyc(1), //bus cycle active (1 = normal operation, 0 = all ongoing transaction are to be cancelled)
|
||||
|
|
|
|||
|
|
@ -0,0 +1,750 @@
|
|||
################################################################################
|
||||
# IO constraints
|
||||
################################################################################
|
||||
# cpu_reset_n:0
|
||||
set_property LOC C22 [get_ports {i_rst_n}]
|
||||
set_property IOSTANDARD LVCMOS18 [get_ports {i_rst_n}]
|
||||
|
||||
# clk200:0.p
|
||||
set_property LOC AB11 [get_ports {i_clk200_p}]
|
||||
set_property IOSTANDARD LVDS [get_ports {i_clk200_p}]
|
||||
|
||||
# clk200:0.n
|
||||
set_property LOC AC11 [get_ports {i_clk200_n}]
|
||||
set_property IOSTANDARD LVDS [get_ports {i_clk200_n}]
|
||||
|
||||
# serial:0.tx
|
||||
set_property LOC A20 [get_ports {tx}]
|
||||
set_property IOSTANDARD LVCMOS18 [get_ports {tx}]
|
||||
|
||||
# serial:0.rx
|
||||
set_property LOC B20 [get_ports {rx}]
|
||||
set_property IOSTANDARD LVCMOS18 [get_ports {rx}]
|
||||
|
||||
# ddram:0.a
|
||||
set_property LOC AE11 [get_ports {ddr3_addr[0]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[0]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[0]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[0]}]
|
||||
|
||||
# ddram:0.a
|
||||
set_property LOC AF9 [get_ports {ddr3_addr[1]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[1]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[1]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[1]}]
|
||||
|
||||
# ddram:0.a
|
||||
set_property LOC AD10 [get_ports {ddr3_addr[2]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[2]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[2]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[2]}]
|
||||
|
||||
# ddram:0.a
|
||||
set_property LOC AB10 [get_ports {ddr3_addr[3]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[3]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[3]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[3]}]
|
||||
|
||||
# ddram:0.a
|
||||
set_property LOC AA9 [get_ports {ddr3_addr[4]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[4]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[4]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[4]}]
|
||||
|
||||
# ddram:0.a
|
||||
set_property LOC AB9 [get_ports {ddr3_addr[5]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[5]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[5]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[5]}]
|
||||
|
||||
# ddram:0.a
|
||||
set_property LOC AA8 [get_ports {ddr3_addr[6]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[6]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[6]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[6]}]
|
||||
|
||||
# ddram:0.a
|
||||
set_property LOC AC8 [get_ports {ddr3_addr[7]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[7]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[7]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[7]}]
|
||||
|
||||
# ddram:0.a
|
||||
set_property LOC AA7 [get_ports {ddr3_addr[8]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[8]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[8]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[8]}]
|
||||
|
||||
# ddram:0.a
|
||||
set_property LOC AE8 [get_ports {ddr3_addr[9]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[9]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[9]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[9]}]
|
||||
|
||||
# ddram:0.a
|
||||
set_property LOC AF10 [get_ports {ddr3_addr[10]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[10]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[10]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[10]}]
|
||||
|
||||
# ddram:0.a
|
||||
set_property LOC AD8 [get_ports {ddr3_addr[11]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[11]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[11]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[11]}]
|
||||
|
||||
# ddram:0.a
|
||||
set_property LOC AE10 [get_ports {ddr3_addr[12]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[12]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[12]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[12]}]
|
||||
|
||||
# ddram:0.a
|
||||
set_property LOC AF8 [get_ports {ddr3_addr[13]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[13]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[13]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[13]}]
|
||||
|
||||
# ddram:0.a
|
||||
set_property LOC AC7 [get_ports {ddr3_addr[14]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[14]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_addr[14]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_addr[14]}]
|
||||
|
||||
# ddram:0.ba
|
||||
set_property LOC AD11 [get_ports {ddr3_ba[0]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_ba[0]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_ba[0]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_ba[0]}]
|
||||
|
||||
# ddram:0.ba
|
||||
set_property LOC AA10 [get_ports {ddr3_ba[1]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_ba[1]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_ba[1]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_ba[1]}]
|
||||
|
||||
# ddram:0.ba
|
||||
set_property LOC AF12 [get_ports {ddr3_ba[2]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_ba[2]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_ba[2]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_ba[2]}]
|
||||
|
||||
# ddram:0.ras_n
|
||||
set_property LOC AE13 [get_ports {ddr3_ras_n}]
|
||||
set_property SLEW FAST [get_ports {ddr3_ras_n}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_ras_n}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_ras_n}]
|
||||
|
||||
# ddram:0.cas_n
|
||||
set_property LOC AE12 [get_ports {ddr3_cas_n}]
|
||||
set_property SLEW FAST [get_ports {ddr3_cas_n}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_cas_n}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_cas_n}]
|
||||
|
||||
# ddram:0.we_n
|
||||
set_property LOC AA12 [get_ports {ddr3_we_n}]
|
||||
set_property SLEW FAST [get_ports {ddr3_we_n}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_we_n}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_we_n}]
|
||||
|
||||
# ddram:0.cs_n
|
||||
set_property LOC Y12 [get_ports {ddr3_cs_n}]
|
||||
set_property SLEW FAST [get_ports {ddr3_cs_n}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_cs_n}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_cs_n}]
|
||||
|
||||
# ddram:0.dm
|
||||
set_property LOC Y3 [get_ports {ddr3_dm[0]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dm[0]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dm[0]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dm[0]}]
|
||||
|
||||
# ddram:0.dm
|
||||
set_property LOC U5 [get_ports {ddr3_dm[1]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dm[1]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dm[1]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dm[1]}]
|
||||
|
||||
# ddram:0.dm
|
||||
set_property LOC AD4 [get_ports {ddr3_dm[2]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dm[2]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dm[2]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dm[2]}]
|
||||
|
||||
# ddram:0.dm
|
||||
set_property LOC AC4 [get_ports {ddr3_dm[3]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dm[3]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dm[3]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dm[3]}]
|
||||
|
||||
# ddram:0.dm
|
||||
set_property LOC AF19 [get_ports {ddr3_dm[4]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dm[4]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dm[4]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dm[4]}]
|
||||
|
||||
# ddram:0.dm
|
||||
set_property LOC AC16 [get_ports {ddr3_dm[5]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dm[5]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dm[5]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dm[5]}]
|
||||
|
||||
# ddram:0.dm
|
||||
set_property LOC AB19 [get_ports {ddr3_dm[6]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dm[6]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dm[6]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dm[6]}]
|
||||
|
||||
# ddram:0.dm
|
||||
set_property LOC V14 [get_ports {ddr3_dm[7]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dm[7]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dm[7]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dm[7]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AA2 [get_ports {ddr3_dq[0]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[0]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[0]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[0]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC Y2 [get_ports {ddr3_dq[1]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[1]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[1]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[1]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AB2 [get_ports {ddr3_dq[2]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[2]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[2]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[2]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC V1 [get_ports {ddr3_dq[3]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[3]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[3]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[3]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC Y1 [get_ports {ddr3_dq[4]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[4]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[4]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[4]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC W1 [get_ports {ddr3_dq[5]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[5]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[5]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[5]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AC2 [get_ports {ddr3_dq[6]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[6]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[6]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[6]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC V2 [get_ports {ddr3_dq[7]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[7]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[7]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[7]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC W3 [get_ports {ddr3_dq[8]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[8]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[8]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[8]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC V3 [get_ports {ddr3_dq[9]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[9]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[9]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[9]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC U1 [get_ports {ddr3_dq[10]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[10]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[10]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[10]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC U7 [get_ports {ddr3_dq[11]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[11]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[11]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[11]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC U6 [get_ports {ddr3_dq[12]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[12]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[12]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[12]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC V4 [get_ports {ddr3_dq[13]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[13]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[13]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[13]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC V6 [get_ports {ddr3_dq[14]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[14]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[14]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[14]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC U2 [get_ports {ddr3_dq[15]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[15]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[15]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[15]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AE3 [get_ports {ddr3_dq[16]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[16]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[16]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[16]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AE6 [get_ports {ddr3_dq[17]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[17]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[17]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[17]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AF3 [get_ports {ddr3_dq[18]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[18]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[18]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[18]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AD1 [get_ports {ddr3_dq[19]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[19]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[19]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[19]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AE1 [get_ports {ddr3_dq[20]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[20]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[20]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[20]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AE2 [get_ports {ddr3_dq[21]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[21]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[21]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[21]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AF2 [get_ports {ddr3_dq[22]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[22]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[22]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[22]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AE5 [get_ports {ddr3_dq[23]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[23]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[23]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[23]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AD5 [get_ports {ddr3_dq[24]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[24]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[24]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[24]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC Y5 [get_ports {ddr3_dq[25]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[25]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[25]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[25]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AC6 [get_ports {ddr3_dq[26]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[26]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[26]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[26]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC Y6 [get_ports {ddr3_dq[27]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[27]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[27]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[27]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AB4 [get_ports {ddr3_dq[28]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[28]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[28]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[28]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AD6 [get_ports {ddr3_dq[29]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[29]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[29]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[29]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AB6 [get_ports {ddr3_dq[30]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[30]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[30]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[30]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AC3 [get_ports {ddr3_dq[31]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[31]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[31]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[31]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AD16 [get_ports {ddr3_dq[32]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[32]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[32]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[32]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AE17 [get_ports {ddr3_dq[33]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[33]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[33]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[33]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AF15 [get_ports {ddr3_dq[34]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[34]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[34]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[34]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AF20 [get_ports {ddr3_dq[35]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[35]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[35]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[35]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AD15 [get_ports {ddr3_dq[36]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[36]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[36]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[36]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AF14 [get_ports {ddr3_dq[37]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[37]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[37]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[37]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AE15 [get_ports {ddr3_dq[38]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[38]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[38]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[38]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AF17 [get_ports {ddr3_dq[39]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[39]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[39]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[39]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AA14 [get_ports {ddr3_dq[40]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[40]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[40]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[40]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AA15 [get_ports {ddr3_dq[41]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[41]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[41]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[41]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AC14 [get_ports {ddr3_dq[42]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[42]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[42]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[42]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AD14 [get_ports {ddr3_dq[43]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[43]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[43]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[43]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AB14 [get_ports {ddr3_dq[44]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[44]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[44]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[44]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AB15 [get_ports {ddr3_dq[45]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[45]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[45]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[45]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AA17 [get_ports {ddr3_dq[46]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[46]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[46]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[46]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AA18 [get_ports {ddr3_dq[47]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[47]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[47]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[47]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AB20 [get_ports {ddr3_dq[48]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[48]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[48]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[48]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AD19 [get_ports {ddr3_dq[49]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[49]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[49]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[49]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AC19 [get_ports {ddr3_dq[50]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[50]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[50]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[50]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AA20 [get_ports {ddr3_dq[51]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[51]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[51]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[51]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AA19 [get_ports {ddr3_dq[52]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[52]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[52]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[52]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AC17 [get_ports {ddr3_dq[53]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[53]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[53]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[53]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AD18 [get_ports {ddr3_dq[54]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[54]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[54]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[54]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC AB17 [get_ports {ddr3_dq[55]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[55]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[55]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[55]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC W15 [get_ports {ddr3_dq[56]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[56]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[56]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[56]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC W16 [get_ports {ddr3_dq[57]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[57]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[57]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[57]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC W14 [get_ports {ddr3_dq[58]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[58]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[58]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[58]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC V16 [get_ports {ddr3_dq[59]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[59]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[59]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[59]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC V19 [get_ports {ddr3_dq[60]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[60]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[60]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[60]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC V17 [get_ports {ddr3_dq[61]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[61]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[61]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[61]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC V18 [get_ports {ddr3_dq[62]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[62]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[62]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[62]}]
|
||||
|
||||
# ddram:0.dq
|
||||
set_property LOC Y17 [get_ports {ddr3_dq[63]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[63]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dq[63]}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[63]}]
|
||||
|
||||
# ddram:0.dqs_p
|
||||
set_property LOC AB1 [get_ports {ddr3_dqs_p[0]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_p[0]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_p[0]}]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_dqs_p[0]}]
|
||||
|
||||
# ddram:0.dqs_p
|
||||
set_property LOC W6 [get_ports {ddr3_dqs_p[1]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_p[1]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_p[1]}]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_dqs_p[1]}]
|
||||
|
||||
# ddram:0.dqs_p
|
||||
set_property LOC AF5 [get_ports {ddr3_dqs_p[2]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_p[2]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_p[2]}]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_dqs_p[2]}]
|
||||
|
||||
# ddram:0.dqs_p
|
||||
set_property LOC AA5 [get_ports {ddr3_dqs_p[3]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_p[3]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_p[3]}]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_dqs_p[3]}]
|
||||
|
||||
# ddram:0.dqs_p
|
||||
set_property LOC AE18 [get_ports {ddr3_dqs_p[4]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_p[4]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_p[4]}]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_dqs_p[4]}]
|
||||
|
||||
# ddram:0.dqs_p
|
||||
set_property LOC Y15 [get_ports {ddr3_dqs_p[5]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_p[5]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_p[5]}]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_dqs_p[5]}]
|
||||
|
||||
# ddram:0.dqs_p
|
||||
set_property LOC AD20 [get_ports {ddr3_dqs_p[6]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_p[6]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_p[6]}]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_dqs_p[6]}]
|
||||
|
||||
# ddram:0.dqs_p
|
||||
set_property LOC W18 [get_ports {ddr3_dqs_p[7]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_p[7]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_p[7]}]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_dqs_p[7]}]
|
||||
|
||||
# ddram:0.dqs_n
|
||||
set_property LOC AC1 [get_ports {ddr3_dqs_n[0]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_n[0]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_n[0]}]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_dqs_n[0]}]
|
||||
|
||||
# ddram:0.dqs_n
|
||||
set_property LOC W5 [get_ports {ddr3_dqs_n[1]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_n[1]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_n[1]}]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_dqs_n[1]}]
|
||||
|
||||
# ddram:0.dqs_n
|
||||
set_property LOC AF4 [get_ports {ddr3_dqs_n[2]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_n[2]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_n[2]}]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_dqs_n[2]}]
|
||||
|
||||
# ddram:0.dqs_n
|
||||
set_property LOC AB5 [get_ports {ddr3_dqs_n[3]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_n[3]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_n[3]}]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_dqs_n[3]}]
|
||||
|
||||
# ddram:0.dqs_n
|
||||
set_property LOC AF18 [get_ports {ddr3_dqs_n[4]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_n[4]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_n[4]}]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_dqs_n[4]}]
|
||||
|
||||
# ddram:0.dqs_n
|
||||
set_property LOC Y16 [get_ports {ddr3_dqs_n[5]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_n[5]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_n[5]}]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_dqs_n[5]}]
|
||||
|
||||
# ddram:0.dqs_n
|
||||
set_property LOC AE20 [get_ports {ddr3_dqs_n[6]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_n[6]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_n[6]}]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_dqs_n[6]}]
|
||||
|
||||
# ddram:0.dqs_n
|
||||
set_property LOC W19 [get_ports {ddr3_dqs_n[7]}]
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_n[7]}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_dqs_n[7]}]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_dqs_n[7]}]
|
||||
|
||||
# ddram:0.clk_p
|
||||
set_property LOC AB12 [get_ports {ddr3_clk_p}]
|
||||
set_property SLEW FAST [get_ports {ddr3_clk_p}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_clk_p}]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_clk_p}]
|
||||
|
||||
# ddram:0.clk_n
|
||||
set_property LOC AC12 [get_ports {ddr3_clk_n}]
|
||||
set_property SLEW FAST [get_ports {ddr3_clk_n}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_clk_n}]
|
||||
set_property IOSTANDARD DIFF_SSTL15 [get_ports {ddr3_clk_n}]
|
||||
|
||||
# ddram:0.cke
|
||||
set_property LOC AA13 [get_ports {ddr3_cke}]
|
||||
set_property SLEW FAST [get_ports {ddr3_cke}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_cke}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_cke}]
|
||||
|
||||
# ddram:0.odt
|
||||
set_property LOC AD13 [get_ports {ddr3_odt}]
|
||||
set_property SLEW FAST [get_ports {ddr3_odt}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_odt}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_odt}]
|
||||
|
||||
# ddram:0.reset_n
|
||||
set_property LOC AB7 [get_ports {ddr3_reset_n}]
|
||||
set_property SLEW FAST [get_ports {ddr3_reset_n}]
|
||||
set_property VCCAUX_IO HIGH [get_ports {ddr3_reset_n}]
|
||||
set_property IOSTANDARD SSTL15 [get_ports {ddr3_reset_n}]
|
||||
set_property SLEW SLOW [get_ports {ddr3_reset_n}]
|
||||
|
||||
# user_led:0
|
||||
set_property LOC U9 [get_ports {led[0]}]
|
||||
set_property IOSTANDARD LVCMOS15 [get_ports {led[0]}]
|
||||
set_property SLEW SLOW [get_ports {led[0]}]
|
||||
|
||||
# user_led:1
|
||||
set_property LOC V12 [get_ports {led[1]}]
|
||||
set_property IOSTANDARD LVCMOS15 [get_ports {led[1]}]
|
||||
set_property SLEW SLOW [get_ports {led[1]}]
|
||||
|
||||
# user_led:2
|
||||
set_property LOC V13 [get_ports {led[2]}]
|
||||
set_property IOSTANDARD LVCMOS15 [get_ports {led[2]}]
|
||||
set_property SLEW SLOW [get_ports {led[2]}]
|
||||
|
||||
# user_led:3
|
||||
set_property LOC W13 [get_ports {led[3]}]
|
||||
set_property IOSTANDARD LVCMOS15 [get_ports {led[3]}]
|
||||
set_property SLEW SLOW [get_ports {led[3]}]
|
||||
|
||||
|
||||
################################################################################
|
||||
# Design constraints
|
||||
################################################################################
|
||||
|
||||
set_property CONFIG_VOLTAGE 1.8 [current_design]
|
||||
|
||||
set_property CFGBVS GND [current_design]
|
||||
|
||||
|
||||
################################################################################
|
||||
# Clock constraints
|
||||
################################################################################
|
||||
|
||||
|
||||
create_clock -name i_clk200_p -period 5.0 [get_ports i_clk200_p]
|
||||
|
|
@ -1,113 +0,0 @@
|
|||
/*
|
||||
|
||||
Copyright (c) 2014-2017 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
*/
|
||||
|
||||
// Language: Verilog 2001
|
||||
|
||||
`timescale 1ns / 1ps
|
||||
|
||||
/*
|
||||
* AXI4-Stream UART
|
||||
*/
|
||||
module uart #
|
||||
(
|
||||
parameter DATA_WIDTH = 8
|
||||
)
|
||||
(
|
||||
input wire clk,
|
||||
input wire rst,
|
||||
|
||||
/*
|
||||
* AXI input
|
||||
*/
|
||||
input wire [DATA_WIDTH-1:0] s_axis_tdata,
|
||||
input wire s_axis_tvalid,
|
||||
output wire s_axis_tready,
|
||||
|
||||
/*
|
||||
* AXI output
|
||||
*/
|
||||
output wire [DATA_WIDTH-1:0] m_axis_tdata,
|
||||
output wire m_axis_tvalid,
|
||||
input wire m_axis_tready,
|
||||
|
||||
/*
|
||||
* UART interface
|
||||
*/
|
||||
input wire rxd,
|
||||
output wire txd,
|
||||
|
||||
/*
|
||||
* Status
|
||||
*/
|
||||
output wire tx_busy,
|
||||
output wire rx_busy,
|
||||
output wire rx_overrun_error,
|
||||
output wire rx_frame_error,
|
||||
|
||||
/*
|
||||
* Configuration
|
||||
*/
|
||||
input wire [15:0] prescale
|
||||
|
||||
);
|
||||
|
||||
uart_tx #(
|
||||
.DATA_WIDTH(DATA_WIDTH)
|
||||
)
|
||||
uart_tx_inst (
|
||||
.clk(clk),
|
||||
.rst(rst),
|
||||
// axi input
|
||||
.s_axis_tdata(s_axis_tdata),
|
||||
.s_axis_tvalid(s_axis_tvalid),
|
||||
.s_axis_tready(s_axis_tready),
|
||||
// output
|
||||
.txd(txd),
|
||||
// status
|
||||
.busy(tx_busy),
|
||||
// configuration
|
||||
.prescale(prescale)
|
||||
);
|
||||
|
||||
uart_rx #(
|
||||
.DATA_WIDTH(DATA_WIDTH)
|
||||
)
|
||||
uart_rx_inst (
|
||||
.clk(clk),
|
||||
.rst(rst),
|
||||
// axi output
|
||||
.m_axis_tdata(m_axis_tdata),
|
||||
.m_axis_tvalid(m_axis_tvalid),
|
||||
.m_axis_tready(m_axis_tready),
|
||||
// input
|
||||
.rxd(rxd),
|
||||
// status
|
||||
.busy(rx_busy),
|
||||
.overrun_error(rx_overrun_error),
|
||||
.frame_error(rx_frame_error),
|
||||
// configuration
|
||||
.prescale(prescale)
|
||||
);
|
||||
|
||||
endmodule
|
||||
|
|
@ -1,142 +1,207 @@
|
|||
/*
|
||||
|
||||
Copyright (c) 2014-2017 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
*/
|
||||
|
||||
// Language: Verilog 2001
|
||||
|
||||
`timescale 1ns / 1ps
|
||||
|
||||
/*
|
||||
* AXI4-Stream UART
|
||||
*/
|
||||
module uart_rx #
|
||||
(
|
||||
parameter DATA_WIDTH = 8
|
||||
)
|
||||
(
|
||||
input wire clk,
|
||||
input wire rst,
|
||||
|
||||
/*
|
||||
* AXI output
|
||||
*/
|
||||
output wire [DATA_WIDTH-1:0] m_axis_tdata,
|
||||
output wire m_axis_tvalid,
|
||||
input wire m_axis_tready,
|
||||
|
||||
/*
|
||||
* UART interface
|
||||
*/
|
||||
input wire rxd,
|
||||
|
||||
/*
|
||||
* Status
|
||||
*/
|
||||
output wire busy,
|
||||
output wire overrun_error,
|
||||
output wire frame_error,
|
||||
|
||||
/*
|
||||
* Configuration
|
||||
*/
|
||||
input wire [15:0] prescale
|
||||
//
|
||||
// Module: uart_rx
|
||||
//
|
||||
// Notes:
|
||||
// - UART reciever module.
|
||||
//
|
||||
|
||||
module uart_rx(
|
||||
input wire clk , // Top level system clock input.
|
||||
input wire resetn , // Asynchronous active low reset.
|
||||
input wire uart_rxd , // UART Recieve pin.
|
||||
input wire uart_rx_en , // Recieve enable
|
||||
output wire uart_rx_break, // Did we get a BREAK message?
|
||||
output wire uart_rx_valid, // Valid data recieved and available.
|
||||
output reg [PAYLOAD_BITS-1:0] uart_rx_data // The recieved data.
|
||||
);
|
||||
|
||||
reg [DATA_WIDTH-1:0] m_axis_tdata_reg = 0;
|
||||
reg m_axis_tvalid_reg = 0;
|
||||
// ---------------------------------------------------------------------------
|
||||
// External parameters.
|
||||
//
|
||||
|
||||
reg rxd_reg = 1;
|
||||
//
|
||||
// Input bit rate of the UART line.
|
||||
parameter BIT_RATE = 9600; // bits / sec
|
||||
localparam BIT_P = 1_000_000_000 * 1/BIT_RATE; // nanoseconds
|
||||
|
||||
reg busy_reg = 0;
|
||||
reg overrun_error_reg = 0;
|
||||
reg frame_error_reg = 0;
|
||||
//
|
||||
// Clock frequency in hertz.
|
||||
parameter CLK_HZ = 50_000_000;
|
||||
localparam CLK_P = 1_000_000_000 * 1/CLK_HZ; // nanoseconds
|
||||
|
||||
reg [DATA_WIDTH-1:0] data_reg = 0;
|
||||
reg [18:0] prescale_reg = 0;
|
||||
reg [3:0] bit_cnt = 0;
|
||||
//
|
||||
// Number of data bits recieved per UART packet.
|
||||
parameter PAYLOAD_BITS = 8;
|
||||
|
||||
assign m_axis_tdata = m_axis_tdata_reg;
|
||||
assign m_axis_tvalid = m_axis_tvalid_reg;
|
||||
//
|
||||
// Number of stop bits indicating the end of a packet.
|
||||
parameter STOP_BITS = 1;
|
||||
|
||||
assign busy = busy_reg;
|
||||
assign overrun_error = overrun_error_reg;
|
||||
assign frame_error = frame_error_reg;
|
||||
// --------------------------------------------------------------------------
|
||||
// Internal parameters.
|
||||
//
|
||||
|
||||
//
|
||||
// Number of clock cycles per uart bit.
|
||||
localparam CYCLES_PER_BIT = BIT_P / CLK_P;
|
||||
|
||||
//
|
||||
// Size of the registers which store sample counts and bit durations.
|
||||
localparam COUNT_REG_LEN = 1+$clog2(CYCLES_PER_BIT);
|
||||
|
||||
// --------------------------------------------------------------------------
|
||||
// Internal registers.
|
||||
//
|
||||
|
||||
//
|
||||
// Internally latched value of the uart_rxd line. Helps break long timing
|
||||
// paths from input pins into the logic.
|
||||
reg rxd_reg;
|
||||
reg rxd_reg_0;
|
||||
|
||||
//
|
||||
// Storage for the recieved serial data.
|
||||
reg [PAYLOAD_BITS-1:0] recieved_data;
|
||||
|
||||
//
|
||||
// Counter for the number of cycles over a packet bit.
|
||||
reg [COUNT_REG_LEN-1:0] cycle_counter;
|
||||
|
||||
//
|
||||
// Counter for the number of recieved bits of the packet.
|
||||
reg [3:0] bit_counter;
|
||||
|
||||
//
|
||||
// Sample of the UART input line whenever we are in the middle of a bit frame.
|
||||
reg bit_sample;
|
||||
|
||||
//
|
||||
// Current and next states of the internal FSM.
|
||||
reg [2:0] fsm_state;
|
||||
reg [2:0] n_fsm_state;
|
||||
|
||||
localparam FSM_IDLE = 0;
|
||||
localparam FSM_START= 1;
|
||||
localparam FSM_RECV = 2;
|
||||
localparam FSM_STOP = 3;
|
||||
|
||||
// ---------------------------------------------------------------------------
|
||||
// Output assignment
|
||||
//
|
||||
|
||||
assign uart_rx_break = uart_rx_valid && ~|recieved_data;
|
||||
assign uart_rx_valid = fsm_state == FSM_STOP && n_fsm_state == FSM_IDLE;
|
||||
|
||||
always @(posedge clk) begin
|
||||
if (rst) begin
|
||||
m_axis_tdata_reg <= 0;
|
||||
m_axis_tvalid_reg <= 0;
|
||||
rxd_reg <= 1;
|
||||
prescale_reg <= 0;
|
||||
bit_cnt <= 0;
|
||||
busy_reg <= 0;
|
||||
overrun_error_reg <= 0;
|
||||
frame_error_reg <= 0;
|
||||
end else begin
|
||||
rxd_reg <= rxd;
|
||||
overrun_error_reg <= 0;
|
||||
frame_error_reg <= 0;
|
||||
|
||||
if (m_axis_tvalid && m_axis_tready) begin
|
||||
m_axis_tvalid_reg <= 0;
|
||||
if(!resetn) begin
|
||||
uart_rx_data <= {PAYLOAD_BITS{1'b0}};
|
||||
end else if (fsm_state == FSM_STOP) begin
|
||||
uart_rx_data <= recieved_data;
|
||||
end
|
||||
end
|
||||
|
||||
if (prescale_reg > 0) begin
|
||||
prescale_reg <= prescale_reg - 1;
|
||||
end else if (bit_cnt > 0) begin
|
||||
if (bit_cnt > DATA_WIDTH+1) begin
|
||||
if (!rxd_reg) begin
|
||||
bit_cnt <= bit_cnt - 1;
|
||||
prescale_reg <= (prescale << 3)-1;
|
||||
// ---------------------------------------------------------------------------
|
||||
// FSM next state selection.
|
||||
//
|
||||
|
||||
wire next_bit = cycle_counter == CYCLES_PER_BIT ||
|
||||
fsm_state == FSM_STOP &&
|
||||
cycle_counter == CYCLES_PER_BIT/2;
|
||||
wire payload_done = bit_counter == PAYLOAD_BITS ;
|
||||
|
||||
//
|
||||
// Handle picking the next state.
|
||||
always @(*) begin : p_n_fsm_state
|
||||
case(fsm_state)
|
||||
FSM_IDLE : n_fsm_state = rxd_reg ? FSM_IDLE : FSM_START;
|
||||
FSM_START: n_fsm_state = next_bit ? FSM_RECV : FSM_START;
|
||||
FSM_RECV : n_fsm_state = payload_done ? FSM_STOP : FSM_RECV ;
|
||||
FSM_STOP : n_fsm_state = next_bit ? FSM_IDLE : FSM_STOP ;
|
||||
default : n_fsm_state = FSM_IDLE;
|
||||
endcase
|
||||
end
|
||||
|
||||
// ---------------------------------------------------------------------------
|
||||
// Internal register setting and re-setting.
|
||||
//
|
||||
|
||||
//
|
||||
// Handle updates to the recieved data register.
|
||||
integer i = 0;
|
||||
always @(posedge clk) begin : p_recieved_data
|
||||
if(!resetn) begin
|
||||
recieved_data <= {PAYLOAD_BITS{1'b0}};
|
||||
end else if(fsm_state == FSM_IDLE ) begin
|
||||
recieved_data <= {PAYLOAD_BITS{1'b0}};
|
||||
end else if(fsm_state == FSM_RECV && next_bit ) begin
|
||||
recieved_data[PAYLOAD_BITS-1] <= bit_sample;
|
||||
for ( i = PAYLOAD_BITS-2; i >= 0; i = i - 1) begin
|
||||
recieved_data[i] <= recieved_data[i+1];
|
||||
end
|
||||
end
|
||||
end
|
||||
|
||||
//
|
||||
// Increments the bit counter when recieving.
|
||||
always @(posedge clk) begin : p_bit_counter
|
||||
if(!resetn) begin
|
||||
bit_counter <= 4'b0;
|
||||
end else if(fsm_state != FSM_RECV) begin
|
||||
bit_counter <= {COUNT_REG_LEN{1'b0}};
|
||||
end else if(fsm_state == FSM_RECV && next_bit) begin
|
||||
bit_counter <= bit_counter + 1'b1;
|
||||
end
|
||||
end
|
||||
|
||||
//
|
||||
// Sample the recieved bit when in the middle of a bit frame.
|
||||
always @(posedge clk) begin : p_bit_sample
|
||||
if(!resetn) begin
|
||||
bit_sample <= 1'b0;
|
||||
end else if (cycle_counter == CYCLES_PER_BIT/2) begin
|
||||
bit_sample <= rxd_reg;
|
||||
end
|
||||
end
|
||||
|
||||
|
||||
//
|
||||
// Increments the cycle counter when recieving.
|
||||
always @(posedge clk) begin : p_cycle_counter
|
||||
if(!resetn) begin
|
||||
cycle_counter <= {COUNT_REG_LEN{1'b0}};
|
||||
end else if(next_bit) begin
|
||||
cycle_counter <= {COUNT_REG_LEN{1'b0}};
|
||||
end else if(fsm_state == FSM_START ||
|
||||
fsm_state == FSM_RECV ||
|
||||
fsm_state == FSM_STOP ) begin
|
||||
cycle_counter <= cycle_counter + 1'b1;
|
||||
end
|
||||
end
|
||||
|
||||
|
||||
//
|
||||
// Progresses the next FSM state.
|
||||
always @(posedge clk) begin : p_fsm_state
|
||||
if(!resetn) begin
|
||||
fsm_state <= FSM_IDLE;
|
||||
end else begin
|
||||
bit_cnt <= 0;
|
||||
prescale_reg <= 0;
|
||||
end
|
||||
end else if (bit_cnt > 1) begin
|
||||
bit_cnt <= bit_cnt - 1;
|
||||
prescale_reg <= (prescale << 3)-1;
|
||||
data_reg <= {rxd_reg, data_reg[DATA_WIDTH-1:1]};
|
||||
end else if (bit_cnt == 1) begin
|
||||
bit_cnt <= bit_cnt - 1;
|
||||
if (rxd_reg) begin
|
||||
m_axis_tdata_reg <= data_reg;
|
||||
m_axis_tvalid_reg <= 1;
|
||||
overrun_error_reg <= m_axis_tvalid_reg;
|
||||
end else begin
|
||||
frame_error_reg <= 1;
|
||||
end
|
||||
end
|
||||
end else begin
|
||||
busy_reg <= 0;
|
||||
if (!rxd_reg) begin
|
||||
prescale_reg <= (prescale << 2)-2;
|
||||
bit_cnt <= DATA_WIDTH+2;
|
||||
data_reg <= 0;
|
||||
busy_reg <= 1;
|
||||
fsm_state <= n_fsm_state;
|
||||
end
|
||||
end
|
||||
|
||||
|
||||
//
|
||||
// Responsible for updating the internal value of the rxd_reg.
|
||||
always @(posedge clk) begin : p_rxd_reg
|
||||
if(!resetn) begin
|
||||
rxd_reg <= 1'b1;
|
||||
rxd_reg_0 <= 1'b1;
|
||||
end else if(uart_rx_en) begin
|
||||
rxd_reg <= rxd_reg_0;
|
||||
rxd_reg_0 <= uart_rxd;
|
||||
end
|
||||
end
|
||||
|
||||
|
||||
endmodule
|
||||
|
|
|
|||
|
|
@ -1,114 +1,186 @@
|
|||
/*
|
||||
|
||||
Copyright (c) 2014-2017 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
//
|
||||
// Module: uart_tx
|
||||
//
|
||||
// Notes:
|
||||
// - UART transmitter module.
|
||||
//
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
*/
|
||||
|
||||
// Language: Verilog 2001
|
||||
|
||||
`timescale 1ns / 1ps
|
||||
|
||||
/*
|
||||
* AXI4-Stream UART
|
||||
*/
|
||||
module uart_tx #
|
||||
(
|
||||
parameter DATA_WIDTH = 8
|
||||
)
|
||||
(
|
||||
input wire clk,
|
||||
input wire rst,
|
||||
|
||||
/*
|
||||
* AXI input
|
||||
*/
|
||||
input wire [DATA_WIDTH-1:0] s_axis_tdata,
|
||||
input wire s_axis_tvalid,
|
||||
output wire s_axis_tready,
|
||||
|
||||
/*
|
||||
* UART interface
|
||||
*/
|
||||
output wire txd,
|
||||
|
||||
/*
|
||||
* Status
|
||||
*/
|
||||
output wire busy,
|
||||
|
||||
/*
|
||||
* Configuration
|
||||
*/
|
||||
input wire [15:0] prescale
|
||||
module uart_tx(
|
||||
input wire clk , // Top level system clock input.
|
||||
input wire resetn , // Asynchronous active low reset.
|
||||
output wire uart_txd , // UART transmit pin.
|
||||
output wire uart_tx_busy, // Module busy sending previous item.
|
||||
input wire uart_tx_en , // Send the data on uart_tx_data
|
||||
input wire [PAYLOAD_BITS-1:0] uart_tx_data // The data to be sent
|
||||
);
|
||||
|
||||
reg s_axis_tready_reg = 0;
|
||||
// ---------------------------------------------------------------------------
|
||||
// External parameters.
|
||||
//
|
||||
|
||||
reg txd_reg = 1;
|
||||
//
|
||||
// Input bit rate of the UART line.
|
||||
parameter BIT_RATE = 9600; // bits / sec
|
||||
localparam BIT_P = 1_000_000_000 * 1/BIT_RATE; // nanoseconds
|
||||
|
||||
reg busy_reg = 0;
|
||||
//
|
||||
// Clock frequency in hertz.
|
||||
parameter CLK_HZ = 50_000_000;
|
||||
localparam CLK_P = 1_000_000_000 * 1/CLK_HZ; // nanoseconds
|
||||
|
||||
reg [DATA_WIDTH:0] data_reg = 0;
|
||||
reg [18:0] prescale_reg = 0;
|
||||
reg [3:0] bit_cnt = 0;
|
||||
//
|
||||
// Number of data bits recieved per UART packet.
|
||||
parameter PAYLOAD_BITS = 8;
|
||||
|
||||
assign s_axis_tready = s_axis_tready_reg;
|
||||
assign txd = txd_reg;
|
||||
//
|
||||
// Number of stop bits indicating the end of a packet.
|
||||
parameter STOP_BITS = 1;
|
||||
|
||||
assign busy = busy_reg;
|
||||
// ---------------------------------------------------------------------------
|
||||
// Internal parameters.
|
||||
//
|
||||
|
||||
always @(posedge clk) begin
|
||||
if (rst) begin
|
||||
s_axis_tready_reg <= 0;
|
||||
txd_reg <= 1;
|
||||
prescale_reg <= 0;
|
||||
bit_cnt <= 0;
|
||||
busy_reg <= 0;
|
||||
//
|
||||
// Number of clock cycles per uart bit.
|
||||
localparam CYCLES_PER_BIT = BIT_P / CLK_P;
|
||||
|
||||
//
|
||||
// Size of the registers which store sample counts and bit durations.
|
||||
localparam COUNT_REG_LEN = 1+$clog2(CYCLES_PER_BIT);
|
||||
|
||||
// ---------------------------------------------------------------------------
|
||||
// Internal registers.
|
||||
//
|
||||
|
||||
//
|
||||
// Internally latched value of the uart_txd line. Helps break long timing
|
||||
// paths from the logic to the output pins.
|
||||
reg txd_reg;
|
||||
|
||||
//
|
||||
// Storage for the serial data to be sent.
|
||||
reg [PAYLOAD_BITS-1:0] data_to_send;
|
||||
|
||||
//
|
||||
// Counter for the number of cycles over a packet bit.
|
||||
reg [COUNT_REG_LEN-1:0] cycle_counter;
|
||||
|
||||
//
|
||||
// Counter for the number of sent bits of the packet.
|
||||
reg [3:0] bit_counter;
|
||||
|
||||
//
|
||||
// Current and next states of the internal FSM.
|
||||
reg [2:0] fsm_state;
|
||||
reg [2:0] n_fsm_state;
|
||||
|
||||
localparam FSM_IDLE = 0;
|
||||
localparam FSM_START= 1;
|
||||
localparam FSM_SEND = 2;
|
||||
localparam FSM_STOP = 3;
|
||||
|
||||
|
||||
// ---------------------------------------------------------------------------
|
||||
// FSM next state selection.
|
||||
//
|
||||
|
||||
assign uart_tx_busy = fsm_state != FSM_IDLE;
|
||||
assign uart_txd = txd_reg;
|
||||
|
||||
wire next_bit = cycle_counter == CYCLES_PER_BIT;
|
||||
wire payload_done = bit_counter == PAYLOAD_BITS ;
|
||||
wire stop_done = bit_counter == STOP_BITS && fsm_state == FSM_STOP;
|
||||
|
||||
//
|
||||
// Handle picking the next state.
|
||||
always @(*) begin : p_n_fsm_state
|
||||
case(fsm_state)
|
||||
FSM_IDLE : n_fsm_state = uart_tx_en ? FSM_START: FSM_IDLE ;
|
||||
FSM_START: n_fsm_state = next_bit ? FSM_SEND : FSM_START;
|
||||
FSM_SEND : n_fsm_state = payload_done ? FSM_STOP : FSM_SEND ;
|
||||
FSM_STOP : n_fsm_state = stop_done ? FSM_IDLE : FSM_STOP ;
|
||||
default : n_fsm_state = FSM_IDLE;
|
||||
endcase
|
||||
end
|
||||
|
||||
// ---------------------------------------------------------------------------
|
||||
// Internal register setting and re-setting.
|
||||
//
|
||||
|
||||
//
|
||||
// Handle updates to the sent data register.
|
||||
integer i = 0;
|
||||
always @(posedge clk) begin : p_data_to_send
|
||||
if(!resetn) begin
|
||||
data_to_send <= {PAYLOAD_BITS{1'b0}};
|
||||
end else if(fsm_state == FSM_IDLE && uart_tx_en) begin
|
||||
data_to_send <= uart_tx_data;
|
||||
end else if(fsm_state == FSM_SEND && next_bit ) begin
|
||||
for ( i = PAYLOAD_BITS-2; i >= 0; i = i - 1) begin
|
||||
data_to_send[i] <= data_to_send[i+1];
|
||||
end
|
||||
end
|
||||
end
|
||||
|
||||
|
||||
//
|
||||
// Increments the bit counter each time a new bit frame is sent.
|
||||
always @(posedge clk) begin : p_bit_counter
|
||||
if(!resetn) begin
|
||||
bit_counter <= 4'b0;
|
||||
end else if(fsm_state != FSM_SEND && fsm_state != FSM_STOP) begin
|
||||
bit_counter <= {COUNT_REG_LEN{1'b0}};
|
||||
end else if(fsm_state == FSM_SEND && n_fsm_state == FSM_STOP) begin
|
||||
bit_counter <= {COUNT_REG_LEN{1'b0}};
|
||||
end else if(fsm_state == FSM_STOP&& next_bit) begin
|
||||
bit_counter <= bit_counter + 1'b1;
|
||||
end else if(fsm_state == FSM_SEND && next_bit) begin
|
||||
bit_counter <= bit_counter + 1'b1;
|
||||
end
|
||||
end
|
||||
|
||||
|
||||
//
|
||||
// Increments the cycle counter when sending.
|
||||
always @(posedge clk) begin : p_cycle_counter
|
||||
if(!resetn) begin
|
||||
cycle_counter <= {COUNT_REG_LEN{1'b0}};
|
||||
end else if(next_bit) begin
|
||||
cycle_counter <= {COUNT_REG_LEN{1'b0}};
|
||||
end else if(fsm_state == FSM_START ||
|
||||
fsm_state == FSM_SEND ||
|
||||
fsm_state == FSM_STOP ) begin
|
||||
cycle_counter <= cycle_counter + 1'b1;
|
||||
end
|
||||
end
|
||||
|
||||
|
||||
//
|
||||
// Progresses the next FSM state.
|
||||
always @(posedge clk) begin : p_fsm_state
|
||||
if(!resetn) begin
|
||||
fsm_state <= FSM_IDLE;
|
||||
end else begin
|
||||
if (prescale_reg > 0) begin
|
||||
s_axis_tready_reg <= 0;
|
||||
prescale_reg <= prescale_reg - 1;
|
||||
end else if (bit_cnt == 0) begin
|
||||
s_axis_tready_reg <= 1;
|
||||
busy_reg <= 0;
|
||||
fsm_state <= n_fsm_state;
|
||||
end
|
||||
end
|
||||
|
||||
if (s_axis_tvalid) begin
|
||||
s_axis_tready_reg <= !s_axis_tready_reg;
|
||||
prescale_reg <= (prescale << 3)-1;
|
||||
bit_cnt <= DATA_WIDTH+1;
|
||||
data_reg <= {1'b1, s_axis_tdata};
|
||||
txd_reg <= 0;
|
||||
busy_reg <= 1;
|
||||
end
|
||||
end else begin
|
||||
if (bit_cnt > 1) begin
|
||||
bit_cnt <= bit_cnt - 1;
|
||||
prescale_reg <= (prescale << 3)-1;
|
||||
{data_reg, txd_reg} <= {1'b0, data_reg};
|
||||
end else if (bit_cnt == 1) begin
|
||||
bit_cnt <= bit_cnt - 1;
|
||||
prescale_reg <= (prescale << 3);
|
||||
txd_reg <= 1;
|
||||
end
|
||||
end
|
||||
|
||||
//
|
||||
// Responsible for updating the internal value of the txd_reg.
|
||||
always @(posedge clk) begin : p_txd_reg
|
||||
if(!resetn) begin
|
||||
txd_reg <= 1'b1;
|
||||
end else if(fsm_state == FSM_IDLE) begin
|
||||
txd_reg <= 1'b1;
|
||||
end else if(fsm_state == FSM_START) begin
|
||||
txd_reg <= 1'b0;
|
||||
end else if(fsm_state == FSM_SEND) begin
|
||||
txd_reg <= data_to_send[0];
|
||||
end else if(fsm_state == FSM_STOP) begin
|
||||
txd_reg <= 1'b1;
|
||||
end
|
||||
end
|
||||
|
||||
|
|
|
|||
|
|
@ -1,537 +0,0 @@
|
|||
## Clock Signals
|
||||
set_property -dict {PACKAGE_PIN M21 IOSTANDARD LVCMOS33} [get_ports i_clk]
|
||||
create_clock -period 20.000 -name sys_clk_pin -waveform {0.000 10.000} -add [get_ports i_clk]
|
||||
|
||||
## Reset
|
||||
set_property -dict {PACKAGE_PIN H7 IOSTANDARD LVCMOS33} [get_ports i_rst_n]
|
||||
|
||||
## LEDs
|
||||
set_property -dict {PACKAGE_PIN G21 IOSTANDARD LVCMOS33} [get_ports {led[0]}]
|
||||
set_property -dict {PACKAGE_PIN G20 IOSTANDARD LVCMOS33} [get_ports {led[1]}]
|
||||
|
||||
## DDR3
|
||||
# PadFunction: IO_L18N_T2_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[0]}]
|
||||
|
||||
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {ddr3_dq[0]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_dq[0]}]
|
||||
|
||||
set_property PACKAGE_PIN D21 [get_ports {ddr3_dq[0]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L16P_T2_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[1]}]
|
||||
|
||||
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {ddr3_dq[1]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_dq[1]}]
|
||||
|
||||
set_property PACKAGE_PIN C21 [get_ports {ddr3_dq[1]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L17P_T2_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[2]}]
|
||||
|
||||
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {ddr3_dq[2]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_dq[2]}]
|
||||
|
||||
set_property PACKAGE_PIN B22 [get_ports {ddr3_dq[2]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L16N_T2_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[3]}]
|
||||
|
||||
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {ddr3_dq[3]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_dq[3]}]
|
||||
|
||||
set_property PACKAGE_PIN B21 [get_ports {ddr3_dq[3]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L13P_T2_MRCC_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[4]}]
|
||||
|
||||
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {ddr3_dq[4]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_dq[4]}]
|
||||
|
||||
set_property PACKAGE_PIN D19 [get_ports {ddr3_dq[4]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L14P_T2_SRCC_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[5]}]
|
||||
|
||||
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {ddr3_dq[5]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_dq[5]}]
|
||||
|
||||
set_property PACKAGE_PIN E20 [get_ports {ddr3_dq[5]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L13N_T2_MRCC_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[6]}]
|
||||
|
||||
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {ddr3_dq[6]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_dq[6]}]
|
||||
|
||||
set_property PACKAGE_PIN C19 [get_ports {ddr3_dq[6]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L14N_T2_SRCC_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[7]}]
|
||||
|
||||
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {ddr3_dq[7]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_dq[7]}]
|
||||
|
||||
set_property PACKAGE_PIN D20 [get_ports {ddr3_dq[7]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L19N_T3_VREF_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[8]}]
|
||||
|
||||
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {ddr3_dq[8]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_dq[8]}]
|
||||
|
||||
set_property PACKAGE_PIN C23 [get_ports {ddr3_dq[8]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L24P_T3_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[9]}]
|
||||
|
||||
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {ddr3_dq[9]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_dq[9]}]
|
||||
|
||||
set_property PACKAGE_PIN D23 [get_ports {ddr3_dq[9]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L23N_T3_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[10]}]
|
||||
|
||||
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {ddr3_dq[10]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_dq[10]}]
|
||||
|
||||
set_property PACKAGE_PIN B24 [get_ports {ddr3_dq[10]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L20P_T3_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[11]}]
|
||||
|
||||
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {ddr3_dq[11]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_dq[11]}]
|
||||
|
||||
set_property PACKAGE_PIN B25 [get_ports {ddr3_dq[11]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L23P_T3_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[12]}]
|
||||
|
||||
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {ddr3_dq[12]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_dq[12]}]
|
||||
|
||||
set_property PACKAGE_PIN C24 [get_ports {ddr3_dq[12]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L22P_T3_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[13]}]
|
||||
|
||||
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {ddr3_dq[13]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_dq[13]}]
|
||||
|
||||
set_property PACKAGE_PIN C26 [get_ports {ddr3_dq[13]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L20N_T3_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[14]}]
|
||||
|
||||
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {ddr3_dq[14]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_dq[14]}]
|
||||
|
||||
set_property PACKAGE_PIN A25 [get_ports {ddr3_dq[14]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L22N_T3_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dq[15]}]
|
||||
|
||||
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {ddr3_dq[15]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_dq[15]}]
|
||||
|
||||
set_property PACKAGE_PIN B26 [get_ports {ddr3_dq[15]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L4P_T0_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[13]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_addr[13]}]
|
||||
|
||||
set_property PACKAGE_PIN G15 [get_ports {ddr3_addr[13]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L12N_T1_MRCC_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[12]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_addr[12]}]
|
||||
|
||||
set_property PACKAGE_PIN C18 [get_ports {ddr3_addr[12]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L1N_T0_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[11]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_addr[11]}]
|
||||
|
||||
set_property PACKAGE_PIN H15 [get_ports {ddr3_addr[11]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L5N_T0_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[10]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_addr[10]}]
|
||||
|
||||
set_property PACKAGE_PIN F20 [get_ports {ddr3_addr[10]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L4N_T0_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[9]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_addr[9]}]
|
||||
|
||||
set_property PACKAGE_PIN F15 [get_ports {ddr3_addr[9]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L1P_T0_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[8]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_addr[8]}]
|
||||
|
||||
set_property PACKAGE_PIN H14 [get_ports {ddr3_addr[8]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L8P_T1_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[7]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_addr[7]}]
|
||||
|
||||
set_property PACKAGE_PIN E16 [get_ports {ddr3_addr[7]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L6P_T0_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[6]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_addr[6]}]
|
||||
|
||||
set_property PACKAGE_PIN H16 [get_ports {ddr3_addr[6]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L8N_T1_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[5]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_addr[5]}]
|
||||
|
||||
set_property PACKAGE_PIN D16 [get_ports {ddr3_addr[5]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L6N_T0_VREF_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[4]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_addr[4]}]
|
||||
|
||||
set_property PACKAGE_PIN G16 [get_ports {ddr3_addr[4]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L7P_T1_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[3]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_addr[3]}]
|
||||
|
||||
set_property PACKAGE_PIN C17 [get_ports {ddr3_addr[3]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L2N_T0_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[2]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_addr[2]}]
|
||||
|
||||
set_property PACKAGE_PIN F17 [get_ports {ddr3_addr[2]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L2P_T0_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[1]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_addr[1]}]
|
||||
|
||||
set_property PACKAGE_PIN G17 [get_ports {ddr3_addr[1]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L11P_T1_SRCC_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_addr[0]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_addr[0]}]
|
||||
|
||||
set_property PACKAGE_PIN E17 [get_ports {ddr3_addr[0]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L9P_T1_DQS_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_ba[2]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_ba[2]}]
|
||||
|
||||
set_property PACKAGE_PIN A17 [get_ports {ddr3_ba[2]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L12P_T1_MRCC_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_ba[1]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_ba[1]}]
|
||||
|
||||
set_property PACKAGE_PIN D18 [get_ports {ddr3_ba[1]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L7N_T1_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_ba[0]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_ba[0]}]
|
||||
|
||||
set_property PACKAGE_PIN B17 [get_ports {ddr3_ba[0]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L10N_T1_16
|
||||
|
||||
set_property SLEW FAST [get_ports ddr3_ras_n]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports ddr3_ras_n]
|
||||
|
||||
set_property PACKAGE_PIN A19 [get_ports ddr3_ras_n]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L10P_T1_16
|
||||
|
||||
set_property SLEW FAST [get_ports ddr3_cas_n]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports ddr3_cas_n]
|
||||
|
||||
set_property PACKAGE_PIN B19 [get_ports ddr3_cas_n]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L9N_T1_DQS_16
|
||||
|
||||
set_property SLEW FAST [get_ports ddr3_we_n]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports ddr3_we_n]
|
||||
|
||||
set_property PACKAGE_PIN A18 [get_ports ddr3_we_n]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_0_16
|
||||
|
||||
set_property SLEW FAST [get_ports ddr3_reset_n]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports ddr3_reset_n]
|
||||
|
||||
set_property PACKAGE_PIN H17 [get_ports ddr3_reset_n]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L11N_T1_SRCC_16
|
||||
|
||||
set_property SLEW FAST [get_ports ddr3_cke]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports ddr3_cke]
|
||||
|
||||
set_property PACKAGE_PIN E18 [get_ports ddr3_cke]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L5P_T0_16
|
||||
|
||||
set_property SLEW FAST [get_ports ddr3_odt]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports ddr3_odt]
|
||||
|
||||
set_property PACKAGE_PIN G19 [get_ports ddr3_odt]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L17N_T2_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dm[0]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_dm[0]}]
|
||||
|
||||
set_property PACKAGE_PIN A22 [get_ports {ddr3_dm[0]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L19P_T3_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dm[1]}]
|
||||
|
||||
set_property IOSTANDARD SSTL135 [get_ports {ddr3_dm[1]}]
|
||||
|
||||
set_property PACKAGE_PIN C22 [get_ports {ddr3_dm[1]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L15P_T2_DQS_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_p[0]}]
|
||||
|
||||
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {ddr3_dqs_p[0]}]
|
||||
|
||||
set_property IOSTANDARD DIFF_SSTL135 [get_ports {ddr3_dqs_p[0]}]
|
||||
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L15N_T2_DQS_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_n[0]}]
|
||||
|
||||
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {ddr3_dqs_n[0]}]
|
||||
|
||||
set_property IOSTANDARD DIFF_SSTL135 [get_ports {ddr3_dqs_n[0]}]
|
||||
|
||||
set_property PACKAGE_PIN B20 [get_ports {ddr3_dqs_p[0]}]
|
||||
set_property PACKAGE_PIN A20 [get_ports {ddr3_dqs_n[0]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L21P_T3_DQS_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_p[1]}]
|
||||
|
||||
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {ddr3_dqs_p[1]}]
|
||||
|
||||
set_property IOSTANDARD DIFF_SSTL135 [get_ports {ddr3_dqs_p[1]}]
|
||||
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L21N_T3_DQS_16
|
||||
|
||||
set_property SLEW FAST [get_ports {ddr3_dqs_n[1]}]
|
||||
|
||||
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {ddr3_dqs_n[1]}]
|
||||
|
||||
set_property IOSTANDARD DIFF_SSTL135 [get_ports {ddr3_dqs_n[1]}]
|
||||
|
||||
set_property PACKAGE_PIN A23 [get_ports {ddr3_dqs_p[1]}]
|
||||
set_property PACKAGE_PIN A24 [get_ports {ddr3_dqs_n[1]}]
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L3P_T0_DQS_16
|
||||
|
||||
set_property SLEW FAST [get_ports ddr3_clk_p]
|
||||
|
||||
set_property IOSTANDARD DIFF_SSTL135 [get_ports ddr3_clk_p]
|
||||
|
||||
|
||||
|
||||
|
||||
# PadFunction: IO_L3N_T0_DQS_16
|
||||
|
||||
set_property SLEW FAST [get_ports ddr3_clk_n]
|
||||
|
||||
set_property IOSTANDARD DIFF_SSTL135 [get_ports ddr3_clk_n]
|
||||
|
||||
set_property PACKAGE_PIN F18 [get_ports ddr3_clk_p]
|
||||
set_property PACKAGE_PIN F19 [get_ports ddr3_clk_n]
|
||||
|
||||
|
||||
|
||||
## UART
|
||||
set_property PACKAGE_PIN F3 [get_ports rx]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports rx]
|
||||
set_property PACKAGE_PIN E3 [get_ports tx]
|
||||
set_property IOSTANDARD LVCMOS33 [get_ports tx]
|
||||
|
||||
|
||||
set_property INTERNAL_VREF 0.675 [get_iobanks 16]
|
||||
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design]
|
||||
|
||||
|
||||
## Place the IOSERDES_train manually (else the tool will place this blocks which can block the route for CLKB0 (OBUFDS for ddr3_clk_p))
|
||||
set_property LOC OLOGIC_X0Y91 [get_cells {ddr3_top/ddr3_phy_inst/genblk5[1].OSERDESE2_train}]
|
||||
set_property LOC ILOGIC_X0Y94 [get_cells {ddr3_top/ddr3_phy_inst/genblk5[0].ISERDESE2_train}]
|
||||
|
||||
Loading…
Reference in New Issue